Linux—vim 打造 IDE ,打造专属于你的 vim 编辑器

vim 打造 IDE

  vimrcvim 的配置文件(vimrc 中带有前双引号的是注释,没有后双引号),系统中有两个不同位置的 vim 的配置文件 vimrc 可以修改,进行配置。

1.	/etc/vim/vimrc # 这个是系统默认的,所有用户使用的都是这个里面的配置
2.	~/.vimrc # 这个是用户目录下的 vim 的配置文件,当前用户登录时,会优先查找该用户下是否有这个文件,有就用这个,没有就用系统默认的
~/.vimrc 优先级高 # .vimrc 这个是用户目录下的隐藏文件

  用户目录下的 vimrc 的优先级比系统默认目录下的 vimrc 的优先级高,用户登陆时,会优先查找用户目录下是否有 vimrc ,如果有的话使用这个配置文件,没有的话,就使用系统默认的配置文件,对 vim 进行配置。

$ vim -v # 查看你的 vim 版本是多少--
VIM - Vi IMproved 7.3 (2010 Aug 15, compiled Nov 24 2016 00:53:33) # 我的版本是 7.3

  下面提供了一些基本的 vimrc 配置,(注:要修改 vimrc 文件,用户要具有超级用户(root)的权限)

#" 括号自动匹配添加 方法1
inoremap ( ()<LEFT>
inoremap { {}<LEFT>
inoremap [ []<LEFT>
inoremap { {<CR>}<Esc>ko #"花括号用这个是比较好的

imap { {}<ESC>i<CR><ESC>V<O   #如果你想在vim中当输入一个左大括号的时候,让vim自动插入插入右大括号,并且回车换行,将光标置于下一行向右缩进4个空格(一个tab)
#"自动补全
:inoremap ( ()<ESC>i
:inoremap ) <c-r>=ClosePair(')')<CR>
#":inoremap { {<CR>}<ESC>O
:inoremap { {}<ESC>i<CR><ESC>V<O
:inoremap } <c-r>=ClosePair('}')<CR>
:inoremap [ []<ESC>i
:inoremap ] <c-r>=ClosePair(']')<CR>
:inoremap " ""<ESC>i
:inoremap ' ''<ESC>i)

#" 不要使用 vi 的键盘模式,而是 vim 自己的
set nocompatible
#" 语法高亮
set syntax=on
#" 去掉输入错误的提示声音
set noeb
#" 在处理未保存或只读文件的时候,弹出确认
set confirm
#" 自动缩进
set autoindent
set cindent
#" Tab 键的宽度
set tabstop=4
#" 统一缩进为 4
set softtabstop=4
set shiftwidth=4
#" 不要用空格代替制表符
set noexpandtab
#" 在行和段开始处使用制表符
set smarttab
#" 显示行号
set number
#" 历史记录数
set history=1000
#" 禁止生成临时文件
set nobackup
set noswapfile
#" 搜索忽略大小写
set ignorecase
#" 搜索逐字符高亮
set hlsearch
set incsearch
#" 编码设置
set enc=utf-8
set fencs=utf-8
#" 显示匹配 - 找要匹配的单词。eg:如果要查找search单词,当输入到/s(回车确认选择)时,会自动找到第一个s开头的单词
set incsearch
#" 忽略大小写
set ignorecase
#" 设置鼠标可用
set mouse=a
#" 新建 .c,.h,.sh,.java 文件,自动插入文件头 
autocmd BufNewFile *.cpp,*.[ch],*.sh,*.java exec ":call SetTitle()" 
#" 代码补全 
set completeopt=preview,menu 
#" 去空行  
nnoremap <F2> :g/^\s*$/d<CR> 
#" 显示中文帮助
if version >= 603
    set helplang=cn
    set encoding=utf-8
endif
#" 设置配色方案
colorscheme evening

  如果大家觉得自己慢慢配置 vimrc 比较慢的话,大家可以自己去百度一下 vimrc 配置,有很多大神都分享了自己的配置哦,你直接拿着用就可以了。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值