记录verilog实现灰度图直方图统计(从modelsim读取图片数据)

一、环境
quartussii
二、说明
菜鸟一个,代码写的不完美,只是验证学习。
RAM的IP配置:
ram的IP核配置问题,记得把输出寄存器q去掉,直接提取,不然会有两个clk的延时才能读取到数据,造成写时序必须延时两个clk,然后会出现写地址和读地址相同的情况,此时写不进去数据。

三、原理
网上有很多不解释。
四、逻辑
1.初始化RAM,即对RAM清零
2.数据输入,缓存2个CLK
3.相邻数判断 计数器增
4.写入ram(先读取对应地址的原来的数,然后和3的计数器相加)
5.结束判断,就是对输入有效信号vsync缓存两个clk
前一个作为读地址判断标志,后一个作为写数据判断标志
五、代码

`timescale 1ns / 1ps
/*
****日期:2021.2.9
****	ram的IP核配置问题,记得把输出寄存器q去掉,直接提取,不然会有两个clk的延时才能读取到数据,
****造成写时序必须延时两个clk,然后会出现写地址和读地址相同的情况,此时写不进去数据。
****
*/
module histogram(
input	clk,
input	rst,
input	[7:0] data_in,
output[15:0] q,
input vsync		//图像有效
);

//DPram
wire	[15:0]	ram_rd_data_b;		//B端口读数据
wire	[7:0]	address_aw;			//A地址
wire	[7:0]	address_bw;			//B地址
wire	[15:0]	ram_wr_data_a;				//A写数据

reg	[8:0] w_cnt;				//写控制计数器
reg	[8:0] r_cnt;				//写控制计数器
				
reg tflag;					//清零标志
reg [7:0] buf0;			//第一拍数据
reg [7:0] buf1;			//第二拍数据

		
//写计数器,初始化RAM清零操作
always @(posedge clk or negedge rst)begin
	if(!rst)begin
		tflag<=1'b0;
		w_cnt<=1'd0;
	end
	else if(w_cnt==9'd256) begin
		 tflag<=1'b1;
	end
	else if(w_cnt<9'd256)
		w_cnt<=w_cnt+1'd1;
	else
		w_cnt<=w_cnt;
end
//
reg vsync1,vsync2;		
//一幅图像结束,读RAM计数器
always @(posedge clk or negedge rst)begin
	if(!rst)begin
		r_cnt<=1'd0;
	end
	else if(tflag&(~vsync2)) begin
		 r_cnt<=r_cnt+1'd1;
	end
	else if(r_cnt<8'd256)
		r_cnt<=r_cnt+1'd1;
	else
		r_cnt<=r_cnt;
end
//提取数值模块	

always @(posedge clk)begin
	if(vsync)begin
		buf0<=data_in;
		buf1<=buf0;
	end
	else begin
		buf1<=8'hx;
		buf0<=8'hx;
	end
	vsync1<=vsync;		//vsync打两拍,作为结束信号
	vsync2<=vsync1;
end
//读取本像素值
//	相邻数不等的时候才写入地址,否则为8'hx,同时在输入完成一副图片之后,最后一个数据作为读地址,读取当前地址原本的数
assign address_bw=(vsync)?(buf0==data_in)? 8'hx:buf0:(vsync1)?buf0:r_cnt;	
//写数据
reg [15:0] cnt;
reg [7:0] address_a;
assign address_aw=address_a;
//写地址缓存一个CLK的读地址
always@(posedge clk)begin
	if(tflag)
		address_a<=address_bw;		//写地址就是前一个clk的读地址
	else
		address_a<=w_cnt;
end
//相邻数不等的时候才写入数据,否则数据为8'hx,且在输入完一副图片后,把最后统计结果写入最后的灰度级
assign ram_wr_data_a=(vsync)?(tflag)?(buf1!=buf0)?(cnt+ram_rd_data_b):8'hx:0:(vsync2)?(cnt+ram_rd_data_b+1'd1):8'hx;
//相邻数判断,计数
always @(posedge clk or negedge rst)begin
	if(!rst)
		cnt<=1'd2;
	else	if(vsync) begin
		if(buf1==buf0) begin
			cnt<=cnt+1'd1;
			end
		else begin
			cnt<=1'd1;
		end
	end
	else
	;
end

ram ram(
	.clock(clk),
	.data(ram_wr_data_a),
	.rdaddress(address_bw),
	.rden(1'b1),
	.wraddress(address_aw),
	.wren(1'b1),
	.q(ram_rd_data_b)
	); 
endmodule

六、附录上测试文件(modelsim)

`timescale 1ns / 1ns

module dptb();
  
  reg clk;
  reg rst;
  reg	[7:0] data_in;
  wire	[7:0] q;
  reg	rden_b;						//B???
  reg	wren_a	;					//A???
  
  reg vsync;      //??????
  
  integer iBmpFileId,iOutFileId,iIndex=0,iCode;
  reg [7:0] rBmpData [0:800000];
  reg [31:0] rBmpCom;
  reg rClk;
  reg [7:0] rData;
  integer iBmpWidth,iBmpHight,iDataStartIndex,iBmpSize;
  
  initial begin
	iBmpFileId = $fopen("C:\\Users\\admin\\Desktop\\flrn\\img\\bmp\\07.bmp","rb+");
	iOutFileId = $fopen("C:\\Users\\admin\\Desktop\\flrn\\img\\bmp\\output_file.bmp","wb+");
  
	iCode = $fread(rBmpData,iBmpFileId);
 
	iBmpWidth = {rBmpData[21],rBmpData[20],rBmpData[19],rBmpData[18]};
	iBmpHight = {rBmpData[25],rBmpData[24],rBmpData[23],rBmpData[22]};
	iDataStartIndex = {rBmpData[13],rBmpData[12],rBmpData[11],rBmpData[10]};
	iBmpSize = {rBmpData[5],rBmpData[4],rBmpData[3],rBmpData[2]}; 
	$fclose(iBmpFileId);

	for (iIndex = 0; iIndex < iBmpSize; iIndex = iIndex + 4) begin
		rBmpCom = {rBmpData[iIndex+3],rBmpData[iIndex+2],rBmpData[iIndex+1],rBmpData[iIndex]};
		$fwrite(iOutFileId,"%u",rBmpCom);
	end // for

	$fclose(iOutFileId);
end
  integer cnt;  
  initial
  begin
    cnt=0;
    clk=0;
    rst=0;
    rden_b=0;
    wren_a=0;
    #10
    rst=1;
    rden_b=1;
    wren_a=1;
  	 iIndex = 1078; //????31881
	 vsync=0;
  end

  always #10 clk=~clk;
  always @(posedge clk)begin
    cnt=cnt+1;
    if(cnt>256)begin
     data_in <= rBmpData[iIndex];
     iIndex<=iIndex+1;
  end
  end
  always @(posedge clk)begin
    if(iIndex>31877)				//31878结束一副图片
       vsync<=1'b0;
    else 			
       vsync<=1'b1;
  end  
    
  histogram u1(
  .clk(clk),
  .rst(rst),
  .data_in(data_in),
  .q(q),
  .vsync(vsync)
  );
  
endmodule

附录测试用图片
在这里插入图片描述
附录最后结果
在这里插入图片描述
OPENCV的直方图统计数据
在这里插入图片描述

  • 4
    点赞
  • 29
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
### 回答1: 以下是一个简单的Verilog代码,它可以读取一个包含灰度数据的文本文件,并实现双线性插值: ``` module bilinear_interpolation ( input wire clk, input wire rst, input wire [7:0] addr_x, input wire [7:0] addr_y, output reg [7:0] out ); reg [7:0] pixel[0:3][0:3]; reg [7:0] x_frac, y_frac; reg [7:0] x_int, y_int; reg [7:0] p1, p2, p3, p4; //读取灰度数据并存储在pixel数组中 initial begin $readmemh("image.txt", pixel); end always @(posedge clk) begin if (rst) begin out <= 0; end else begin //计算x和y的整数和小数部分 x_frac <= addr_x[3:0]; y_frac <= addr_y[3:0]; x_int <= addr_x[7:4]; y_int <= addr_y[7:4]; //计算插值的四个像素的值 p1 <= pixel[x_int][y_int]; p2 <= pixel[x_int+1][y_int]; p3 <= pixel[x_int][y_int+1]; p4 <= pixel[x_int+1][y_int+1]; //双线性插值公式 out <= ((256-x_frac)*(256-y_frac)*p1 + x_frac*(256-y_frac)*p2 + (256-x_frac)*y_frac*p3 + x_frac*y_frac*p4) / 65536; end end endmodule ``` 在这个代码中,`image.txt`文件包含图像的灰度数据,每个数据占用一个字节,按行优先方式存储。`addr_x`和`addr_y`是用于选择像素的地址,它们的高4位表示像素的行和列,低4位表示像素在行或列中的偏移量。`out`输出插值结果。在时钟的上升沿,模块会读取地址对应的像素,并计算插值结果。 ### 回答2: Verilog是一种硬件描述语言,常用于数字电路设计和硬件描述。要实现读取txt中的灰度数据并完成双线性插值,可以将Verilog用于FPGA设计,实现硬件加速。 首先,需要在Verilog实现文件读取模块。可以通过使用Verilog中的文件操作函数,例如$readmemh,从txt文件中读取灰度数据,并将其存储在内存中。 接下来,可以实现双线性插值模块。双线性插值是一种图像处理方法,可用于增强图像的分辨率。在Verilog中,可以使用多个乘法器和加法器来计算双线性插值。根据输入像素和其周围像素的权重,计算出插值结果。 使用Verilog实现一个具有读取文件和双线性插值功能的FPGA设计时,需要确保双线性插值模块的输入和输出与文件读取模块的数据格式相匹配。这可以通过适当的模块接口和信号定义来实现。 在整个设计的过程中,还需要考虑处理时钟和时序同步,以确保数据的正确处理和传输。此外,还需要进行测试和验证,以确保设计的功能和正确性。 总的来说,要使用Verilog实现读取txt中的灰度数据并完成双线性插值,需要实现文件读取模块和双线性插值模块,并进行适当的接口定义和时序同步。这样,就可以在FPGA上实现灰度图像的加速处理。 ### 回答3: Verilog是一种硬件描述语言,主要用于对数字电路进行建模和实现。要实现从txt文件中读取灰度数据并完成双线性插值,可以使用Verilog实现一个简单的处理器。 首先,需要编写一个文件读取模块,它可以读取txt文件中的数据并将其送入处理器的输入端口。可以使用系统任务$readmemh来读取HEX格式的数据文件。 接下来,需要实现一个插值模块,它可以根据输入的坐标和灰度值计算插值结果。可以使用双线性插值算法来实现该模块。 首先,需要计算出输入坐标的整数和小数部分,以确定四个最近的像素点。然后,根据最近的像素点的灰度值和权重进行线性插值,得到插值结果。 最后,将插值结果送入输出端口,并将其写入txt文件中。 需要注意的是,Verilog主要用于硬件设计和建模,因此实现读取txt文件和进行插值算法可能会超出Verilog的范围。可以使用其他编程语言如C++或Python来处理文件读取和插值算法的实现,然后使用Verilog来描述整个硬件系统。这样可以将文件读取和插值算法封装成一个模块,并在Verilog中实例化该模块,以实现从txt文件中读取灰度数据并完成双线性插值的功能。
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值