不懂这些没脸混下去 (一)

RTL:

在集成电路设计中, register-transfer level(RTL)是用于描述同步数字电路操作的抽象级。

在RTL级,IC是由一组寄存器以及寄存器之间的逻辑操作构成。之所以如此,是因为绝大多数的电路可以被看成由寄存器来存储二进制数据、由寄存器之间的逻辑操作来完成数据的处理,数据处理的流程由时序状态机来控制,这些处理和控制可以用硬件描述语言来描述。

RTL级和门级简单的区别在于,RTL是用硬件描述语言(Verilog 或VHDL)描述你想达到的功能,门级则是用具体的逻辑单元(依赖厂家的库)来实现你的功能,门级最终可以在半导体厂加工成实际的硬件,一句话,RTL和门级是设计实现上的不同阶段,RTL经过逻辑综合后,就得到门级。

RTL描述是可以表示为一个有限状态机,或是一个可以在一个预定的时钟周期边界上进行寄存器传输的更一般的时序状态机,通常VHDL/verilog两种语言进行描述。

 

Verilog HDL:

Verilog HDL是一种硬件描述语言(HDL:Hardware Discription Language),是一种以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。 Verilog HDL和VHDL是目前世界上最流行的两种硬件描述语言,都是在20世纪80年代中期开发出来的。前者由Gateway Design Automation公司(该公司于1989年被Cadence公司收购)开发。两种HDL均为IEEE标准。

用途

  Verilog HDL就是在用途最广泛的C语言的基础上发展起来的一种硬件描述语言,它是由GDA(Gateway Design Automation)公司的PhilMoorby在1983年末首创的,最初只设计了一个仿真与验证工具,之后又陆续开发了相关的故障模拟与时序分析工具。1985年Moorby推出它的第三个商用 仿真器Verilog-XL,获得了巨大的成功,从而使得Verilog HDL迅速得到推广应用。1989年CADENCE公司收购了GDA公司,使得VerilogHDL成为了该公司的独家专利。1990年CADENCE公司公开发表了Verilog HDL,并成立LVI组织以促进Verilog HDL成为IEEE标准,即IEEE Standard 1364-1995.
  Verilog HDL的最大特点就是易学易用,如果有C语言的编程经验,可以在一个较短的时间内很快的学习和掌握,因而可以把Verilog HDL内容安排在与 ASIC设计等相关课程内部进行讲授,由于HDL语言本身是专门面向硬件与系统设计的,这样的安排可以使学习者同时获得设计实际电路的经验。与之相比,VHDL的学习要困难一些。但Verilog HDL较自由的语法,也容易造成初学者犯一些错误,这一点要注意。

选择VHDL还是verilog HDL?

  这是一个初学者最常见的问题。其实两种语言的差别并不大,他们的描述能力也是类似的。掌握其中一种语言以后,可以通过短期的学习,较快的学会另一种语言。 选择何种语言主要还是看周围人群的使用习惯,这样可以方便日后的学习交流。当然,如果您是集成电路(ASIC)设计人员,则必须首先掌握verilog,因为在IC设计领域,90%以上的公司都是采用verilog进行IC设计。对于PLD/FPGA设计者而言,两种语言可以 自由选择
  设计人员通过计算机对HDL语言进行逻辑仿真和逻辑综合,方便高效地设计数字电路及其产品。
  常用的Verilog HDL语言开发 软件Altera公司的MAX+PLUS II,Quartus II和Xilinx公司的Foundation ISE。

Verilog HDL的发展历史

  1、1981年Gateway Automation(GDA)硬件描述语言公司成立。
  2、1983年该公司的Philip Moorby首创了Verilog HDL,Moorby后来成为Verrlog HDL-XL的主要设计者和Cadence公司的第一合伙人。
  3、1984-1985年Moorby设计出第一个关于Verilog HDL的 仿真器
  4、1986年Moorby对Verilog HDL的发展又做出另一个巨大的贡献,提出了用于快速门级仿真的XL算法。
  5、随着Verilog HDL-XL的成功,Verilog HDL语言得到迅速发展。
  6、1987年Synonsys公司开始使用Verilog HDL行为语言作为综合工具的输入。
  7、1989年Cadence公司收购了Gateway公司,Verilog HDL成为Cadence公司的私有财产。
  8、1990年初Cadence公司把Verilong HDL和Verilong HDL-XL分开,并 公开发布了Verilog HDL.随后成立的OVI(Open Verilog HDL International)组织负责Verilog HDL的发展,OVI由Verilog HDL的使用和CAE供应商组成,制定标准。
  9、1993年,几乎所有ASIC厂商都开始支持Verilog HDL,并且认为Verilog HDL-XL是最好的仿真器。同时,OVI推出2.0版本的Verilong HDL规范,IEEE接收将OVI的Verilong HDL2.0作为IEEE标准的提案。
  10、1995年12月,IEEE制定了Verilong HDL的标准IEEE1364-1995.
  任何新生事物的产生都有它的历史沿革,早期的硬件描述语言是以一种高级语言为基础,加上一些特殊的约定而产生的,目的是为了实现RTL级仿真,用以验证设计的正确性,而不必像在传统的手工设计过程中那样,必须等到完成样机后才能进行实测和调试。

主要能力

  下面列出的是Ve r i l o g硬件描述语言的主要能力:
  · 基本逻辑门,例如a n d、o r和n a n d等都内置在语言中。
  · 用户定义原语( U D P)创建的灵活性。用户定义的 原语既可以是组合逻辑原语,也可以是时序逻辑原语。
  · 开关级基本结构模型,例如p m o s 和n m o s等也被内置在语言中。
  · 提供显式语言结构指定设计中的端口到端口的时延及路径时延和设计的时序检查。
  · 可采用三种不同方式或混合方式对设计建模。这些方式包括:行为描述方式—使用过程化结构建模;数据流方式—使用连续 赋值语句方式建模;结构化方式—使用门和模块实例语句描述建模。
  · Verilog HDL中有两类 数据类型:线网数据类型和寄存器数据类型。线网类型表示构件间的物理连线,而寄存器类型表示抽象的数据存储元件。
  · 能够描述层次设计,可使用模块实例结构描述任何层次。
  · 设计的规模可以是任意的;语言不对设计的规模(大小)施加任何限制。
  · Verilog HDL不再是某些公司的专有语言而是I E E E标准。
  · 人和机器都可阅读Verilog 语言,因此它可作为E D A的工具和设计者之间的交互语言。
  · Verilog HDL语言的描述能力能够通过使用编程语言接口( P L I)机制进一步扩展。P L I是允许外部函数访问Verilog 模块内信息、允许设计者与模拟器交互的例程集合。
  · 设计能够在多个层次上加以描述,从开关级、门级、寄存器传送级( RT L)到算法级,包括进程和队列级。
  · 能够使用内置开关级原语在开关级对设计完整建模。
  · 同一语言可用于生成模拟激励和指定测试的验证约束条件,例如输入值的指定。
  · Verilog HDL 能够监控模拟验证的执行,即模拟验证执行过程中设计的值能够被监控和显示。这些值也能够用于与期望值比较,在不匹配的情况下,打印报告消息。
  · 在行为级描述中, Verilog HDL不仅能够在RT L级上进行设计描述,而且能够在 体系结构级描述及其算 法级行为上进行设计描述。
  · 能够使用门和模块实例化语句在结构级进行结构描述。
  · 在Verilog HDL 的混合方式建模能力,即在一个设计中每个模块均可以在不同设计层次上建模。
  · Verilog HDL 还具有内置逻辑函数,例如&(按位与)和|(按位或)。
  · 对高级编程语言结构,例如条件语句、情况语句和 循环语句,语言中都可以使用。
  · 可以显式地对并发和定时进行建模。
  · 提供强有力的文件读写能力。
  · 语言在特定情况下是非确定性的,即在不同的模拟器上模型可以产生不同的结果;例如,事件队列上的事件顺序在标准中没有定义。

例子

  一个简单的VerilogHDL的例子:(12位寄存器)
  // Verilog Example
  // User-Defined Macrofunction
  module reg12 ( d, clk, q);
  `define size 11
  input [`size:0]d;
  input clk;
  output [`size:0]q;
  reg [`size:0]q;
  always @(posedge clk)
  q = d;
  endmodule

 

VHDL:

全名Very-High-Speed Integrated Circuit HardwareDescription Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言 。自IEEE-1076(简称87版)之后,各EDA公司相继推出自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本,简称93版。VHDL和Verilog作为IEEE的工业标准硬件描述语言,得到众多EDA公司支持,在电子工程领域,已成为事实上的通用硬件描述语言。

 

 

简介

   VHDL语言是一种用于电路设计的高级语言。它在80年代的后期出现。最初是由 美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言 。
  VHDL翻译成中文就是 超高速集成电路硬件描述语言,主要是应用在数字电路的设计中。目前,它在 中国的应用多数是用在FPGA/CPLD/EPLD的设计中。当然在一些实力较为雄厚的单位,它也被用来设计ASIC。
  VHDL主要用于描述 数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的 计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL 系统设计的基本点。

特点

  与其他硬件描述语言相比,VHDL具有以下特点:

功能强大、设计灵活

  VHDL具有功能强大的语言结构,可以用简洁明确的 源代码来描述复杂的逻辑控制。它具有多层次的设计描述功能,层层细化,最后可直接生成电路级描述。VHDL支持同步电路、异步电路和随机电路的设计,这是其他硬件描述语言所不能比拟的。VHDL还支持各种设计方法,既支持自底向上的设计,又支持自顶向下的设计;既支持 模块化设计,又支持层次化设计。

支持广泛、易于修改

  由于VHDL已经成为IEEE标准所规范的硬件描述语言,目前大多数EDA工具几乎都支持VHDL,这为VHDL的进一步推广和广泛应用奠定了基础。在硬件电路设计过程中,主要的设计文件是用VHDL编写的 源代码,因为VHDL易读和结构化,所以易于修改设计。

强大的系统硬件描述能力

  VHDL具有多层次的设计描述功能,既可以描述系统级电路,又可以描述门级电路。而描述既可以采用行为描述、 寄存器传输描述或结构描述,也可以采用三者混合的混合级描述。另外,VHDL支持惯性延迟和 传输延迟,还可以准确地建立硬件电路模型。VHDL支持预定义的和自定义的 数据类型,给硬件描述带来较大的自由度,使设计人员能够方便地创建高层次的 系统模型

独立于器件的设计、与工艺无关

  设计人员用VHDL进行设计时,不需要首先考虑选择完成设计的器件,就可以集中精力进行设计的优化。当设计描述完成后,可以用多种不同的器件结构来实现其功能。

很强的移植能力

  VHDL是一种标准化的硬件描述语言,同一个设计描述可以被不同的工具所支持,使得设计描述的移植成为可能。

易于共享和复用

  VHDL采用基于库(Library)的设计方法,可以建立各种可再次利用的模块。这些模块可以预先设计或使用以前设计中的存档模块,将这些模块存放到库中,就可以在以后的设计中进行复用,可以使设计成果在设计人员之间进行交流和共享,减少硬件电路设计。

优势

  (1)与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为 系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模 电子系统的重要保证。
  (2)VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。
  (3)VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的 大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。
  (4)对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。
  (5)VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。

简例

  --- VHDL Example
  library ieee;
  use ieee.std_logic_1164.all; --库声明
  entity TONE is
  port(A,B:in std_logic; --实体定义
  C:out std_logic);
  end TONE;
  architecture EX of TONE is -- 结构体定义
  begin
  C<=A OR B;
  end EX;
  VHDL不区分大小写

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值