明德扬视频分享--点拨FPGA课程 100多个案例免费学

这是一份全面的FPGA学习资源,涵盖了从准备工作、工具使用到高级设计技巧的全过程。包括Quartus II、Modelsim的使用、Verilog语法解析、Testbench编写、企业设计规范、计数器、状态机、流水线设计等实战练习,旨在帮助学习者快速掌握FPGA设计技能。
摘要由CSDN通过智能技术生成

第一章  准备工作

1. questasim软件安装

2. quartus Ⅱ软件安装

3. GVIM软件安装

 

第二章  学习管理工具

1. redmine使用

2.  SVN的使用

3. SVN练习

 

第三章  QuartusⅡ的使用技巧

1.  第一个上板例程

2. QuartusⅡ使用练习1

3. QuartusⅡ使用练习1答案

 

第四章  入门基础

1. verilog代码详细讲解

2. 入门练习1

3. 入门练习1答案

4. 入门练习2

5. 入门练习2答案

6. 入门练习3

7. 入门练习3 答案

8. 入门练习4

9. 入门练习4答案

 

第五章  Modelsim的使用技巧

1. modelsim用法

2. modelsim波形观看方法

3. modelsim初级练习1

4. modelsim初级练习1答案

5. modelsim初级练习2

6. modelsim初级练习2答案

7. modelsim初级练习3

8. modelsim初级练习3答案

9. modelsim一级练习1

10.    modelsim一级练习1答案

11.    modelsim一级练习2

12.    modelsim一级练习2答案

 

第六章  Verilog快速掌握

1. verilog快速掌握之程序设计

2. verilog快速掌握之模块例化

3. verilog快速掌握之运算符

4. verilog快速掌握之模块设计

5. verilog快速

评论 8
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值