BEGIN-4 Fibonacci数列

#include <cstdio>
const int X = 10007;

int main() {
    int n, Fibonacci[1000010];
    scanf("%d",&n);
    Fibonacci[1] = 1;
    Fibonacci[2] = 1;
    for(int i=3; i<=n; i++) {
        Fibonacci[i] = (Fibonacci[i-2]%X + Fibonacci[i-1]%X)%X;
    }
    printf("%d",Fibonacci[n]);

    return 0;
}

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
斐波那契数列是一种数列,其中每个数都是前两个数的和。在Verilog中,可以使用任务和函数来实现斐波那契数列的计算。 任务和函数在Verilog中的区别在于,任务是一段可以包含多个语句的代码块,而函数是一个可以返回一个值的表达式。任务可以通过调用来执行,而函数可以在其他表达式中使用。 下面是一个使用Verilog实现斐波那契数列的例子: ```verilog module fibonacci_number( input clk, input rst_n, input [15:0] number_eth, output number_valid, output [15:0] number ); reg [15:0] previous_value; reg [15:0] current_value; reg number_valid_r; reg [15:0] cnt; assign number = current_value; assign number_valid = number_valid_r; always @(posedge clk or negedge rst_n) begin if (!rst_n) begin previous_value <= 16'd0; current_value <= 16'd1; cnt <= 16'd0; number_valid_r <= 1'b0; end else begin if (cnt == number_eth - 16'd2) begin number_valid_r <= 1'b1; end else begin previous_value <= current_value; current_value <= previous_value + current_value; cnt <= cnt + 16'd1; number_valid_r <= 1'b0; end end end endmodule ``` 上述代码是一个Verilog模块,它使用时钟信号和复位信号来计算斐波那契数列。输入信号`number_eth`表示要求的斐波那契数的排号,输出信号`number_valid`表示生成完成,输出信号`number`表示生成的数字。 测试代码如下: ```verilog module Fibonacci_func_tb; reg [3:0] din_t; wire [7:0] dout_t; initial begin din_t = 0; end always #10 din_t = din_t + 1; Fibonacci_func myFibonacci_func( .dout(dout_t), .din(din_t) ); endmodule ``` 上述代码是一个测试代码,它使用一个输入信号`din_t`来模拟斐波那契数列的排号,输出信号`dout_t`表示生成的数字。 通过以上Verilog代码,可以实现斐波那契数列的计算。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值