自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(6)
  • 收藏
  • 关注

转载 IBUFDS、IBUFGDS和OBUFDS

原帖地址  http://shutonglcxxcl.blog.hexun.com/15934675_d.html  IBUFDS、IBUFGDS和OBUFDS都是差分信号缓冲器,用于不同电平接口之间的缓冲和转换。IBUFDS 是差分输入的时候用,OBUFDS是差分输出的时候用,而IBUFGDS则是时钟信号专用的输入缓冲器。下面详细说明: IBUFDSDiffe

2015-01-12 11:11:39 13079 1

原创 学习笔记No.4

换个英文编号酷一点!这两天对verilog信号处理的东西又增进了了解。一些语法的局限性尤其印象深刻。module的output不能是array。无法定义动态数组。在动态参数输入和最大化并行处理之间有不可忽略的矛盾。主要研究了两个功能,一个是最简单的插值,matlab语句 a = 1 : 5; 只有一行,放到verilog里面可以很多。如果要模块化的话,input是两个,size和start

2014-12-04 22:18:23 529

转载 Verilog中的关于文件操作的函数和任务

Verilog中的关于文件操作的函数和任务2012-09-03 21:32:14标签:verilog $fdisplay $fwrite原创作品,允许转载,转载时请务必以超链接形式标明文章 原始出处 、作者信息和本声明。否则将追究法律责任。http://lihaichuan.blog.51cto.com/498079/981084$fopen(“filename”)

2014-12-03 16:58:18 3402

原创 学习日记第三篇

上次日记之后有几天没写了,画了两天时间赶了一些reading report。昨天晚上交完之后今天又弄了一天,记录一下收获。回头看前两天的东西真是觉得做得太慢了,学得慢,动手也慢,搜东西也不够精准。上次测试IP core,我跟进了几个测试,有:FFT, FIFO, Distributed Mem (ROM),Floating。完成了一套FFt处理工程,虽然对最后的结果感到非常迷茫,明显看不懂

2014-12-02 22:35:27 470

原创 学习日记第二天

感觉今天时间不长,而且有点支离破碎。下午开始继续研究IP core,搞了半天昨天的遗留问题。饭后和刚刚有看了一些,总结一下。今天探究的内容是IPcore的使用,例子实在是弱爆了,完成了昨天的adder,今天试验了DDS和Multiplier,都达成了理想的效果。还有点疑问就是,像加和乘法这么简单的运算要用到IPcore吗?一行代码代替不可以吗?二者有什么区别。有空去问一下,或者搜一下。最后

2014-11-28 00:44:41 418

原创 学习日记第一天

好的开始是成功的一半,随笔记录是学习路上的好帮手。作为一个学生,刚刚进入硬件编程领域没多久,在网上搜了好久的资料,看了好多的博客,感觉大神们的经验总结非常受用,自己谈不上学有所成,且把一点点东西记录一下吧。今天晚上3个小时了解和实践了Xilinx core generator的使用方法。本来是从FIFO出发的,先写了一个FIFO的verilog,还没写test bench仿真,就想到可以看

2014-11-26 23:30:39 1421 1

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除