IBUFDS、IBUFGDS和OBUFDS

原帖地址  http://shutonglcxxcl.blog.hexun.com/15934675_d.html 



 IBUFDSIBUFGDSOBUFDS都是差分信号缓冲器,用于不同电平接口之间的缓冲和转换。IBUFDS 是差分输入的时候用,OBUFDS是差分输出的时候用,而IBUFGDS则是时钟信号专用的输入缓冲器。

下面详细说明:

 

IBUFDS

Differential Signaling Input Buffer with Selectable I/O Interface

//差分输入时钟缓冲器

 

IBUFDS是一个输入缓冲器,支持低压差分信号(如LVCMOSLVDS等)。在IBUFDS中,一个电平接口用两个独特的电平接口(IIB)表示。一个可以认为是主信号,另一个可以认为是从信号。主信号和从信号是同一个逻辑信号,但是相位相反。

Inputs

Outputs

I

IB

O

0

0

No Change

0

1

0

1

0

1

1

1

No Change

 

 

Verilog Instantiation Template

IBUFDS instance_name (.O (user_O),

                      .I (user_I),

                      .IB (user_IB));

 

 

IBUFGDS

Dedicated(专用的) Differential Signaling Input Buffer with Selectable I/O Interface

//专用差分输入时钟缓冲器

 IBUFGDS是一个连接时钟信号BUFGDCM的专用的差分信号输入缓冲器。在IBUFGDS中,一个电平接口用两个独立的电平接口(IIB)表示。一个可以认为是主信号,另一个可以认为是从信号。主信号和从信号是同一个逻辑信号,但是相位相反。

Inputs

Outputs

I

IB

O

0

0

- *

0

1

0

1

0

1

1

1

- *

* The dash (-) means No Change.

Verilog Instantiation Template

IBUFGDS instance_name (.O (user_O),

                       .I (user_I),

                       .IB (user_IB));

 

 

 

OBUFDS

Differential Signaling Output Buffer with Selectable I/O Interface

//差分输出时钟缓冲器

OBUFDS是一个输出缓冲器,支持低压差分信号。OBUFDS隔离出了内电路并向芯片上的信号提供驱动电流。它的输出用O和OB两个独立接口表示。一个可以认为是主信号,另一个可以认为是从信号。主信号和从信号是同一个逻辑信号,但是,相位相反。

Inputs

Outputs

I

O

OB

0

0

1

1

1

0

Verilog Instantiation Template

OBUFDS instance_name (.O (user_O),

                      .OB (user_OB),

                      .I (user_I));

 

 

 

  • 6
    点赞
  • 48
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
IBUFDSOBUFDS是Xilinx FPGA芯片上的原语。IBUFDS用于将差分信号转换为单端信号,而OBUFDS用于将单端信号转换为差分信号。 引用和引用中给出了使用IBUFDSOBUFDS的示例代码。这些代码显示了如何使用这些原语以及如何设置它们的参数。 在使用IBUFDS时,需要将差分信号的正极和负极分别连接到IB和IB电路引脚上,然后将单端信号的输出连接到O引脚上。可以通过设置DIFF_TERM参数来控制差分终端的使能,通过设置IBUF_LOW_PWR参数来控制功耗,通过设置IOSTANDARD参数来指定输入的I/O标准。 在使用OBUFDS时,需要将单端信号连接到I引脚上,然后将差分信号的正极和负极分别连接到OB和OB电路引脚上。可以通过设置DIFF_TERM参数来控制差分终端的使能,通过设置OBUF_LOW_PWR参数来控制功耗,通过设置IOSTANDARD参数来指定输出的I/O标准。 综上所述,IBUFDS用于差分信号转单端信号,OBUFDS用于单端信号转差分信号。它们在FPGA设计中起到了重要的作用,可以实现信号的传输和接收。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [vivado:差分信号和单端信号 的相互转换 IBUFDS OBUFDS](https://blog.csdn.net/qq_52137732/article/details/127791606)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* *3* [FPGA差分转单端,单端转差分 IBUFDS OBUFDS BUFG](https://blog.csdn.net/kai73/article/details/105693759)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值