vhdl加法器和减法器_半减法器和全减法器的设计

本文介绍了VHDL中的加法器和减法器,重点讲解了半减法器和全减法器的工作原理和设计。通过K-Map简化,得到了差分和借位的布尔表达式,并展示了如何使用半减法器设计全减法器的逻辑电路图。
摘要由CSDN通过智能技术生成

vhdl加法器和减法器

A Subtractor is a digital circuit which performs subtraction operation.

减法器是执行减法运算的数字电路。

半减法器 (Half Subtractor)

It is a combinational circuit that performs subtraction of two binary bits. It has two inputs (minuend and subtrahend) and two outputs Difference (D) and Borrows (Bout). We use half-subtractor to subtract the LSB of the subtrahend to the LSB of the minuend when one binary number is subtracted from another. Subtraction is done according to the rule of binary subtraction and the operations can be summarized in a truth table as,

它是执行两个二进制位相减的组合电路。 它有两个输入(最小和次要)和两个输出差( D )和借款( B out )。 当从另一个二进制数中减去一个二进制数时,我们使用半减法器将被减数的LSB减去被减数的LSB。 减法是根据二进制减法的规则进行的,运算可以总结为真值表,如下所示:

A B Difference (D) Borrow (Bout)
0 0 0 0
0 1 1 1
1 0 1 0
1 1 0 0
一个 差异(D) 借(B )
0 0 0 0
0 1个 1个 1个
1个 0 1个 0
1个 1个 0 0
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值