verilog读写文件(整理)



以前我一般常用到的系统函数只有几个:$readmemb$readmemh$display$fmonitor$fwrite$fopen$fclose等。通常需要对文件作预处理,才能用于Testbench读取。今天又尝试了几个其他的文件输入输出函数,不需要对文件进行预处理,直接使用需要的文件,只对需要的部分进行读取。

$fseek,文件定位,可以从任意点对文件进行操作;

$fscanf,对文件一行进行读写。

下面是一些常见的应用:
1
读写文件

module read_write_file();
	integer fp_r,fp_w;
	integer count;
	reg [9:0] reg1;

	initial
		begin
			fp_r=$fopen("data_in.txt","r");//以读的方式打开文件
			fp_w=$fopen("data_out.txt","w");//以写的方式打开文件

			while(! $feof(fp_r))
				begin
					count=$fscanf(fp_r,"%b" ,reg1) ;//每次读一行
					$display("%d::::%b",count,reg1) ;//打印输出
					$fwrite(fp_w,"%b\n",reg1) ;//写入文件
				end

			$fclose(fp_r);//关闭已打开的文件
			$fclose(fp_w);
		end

endmodule
			




百度网盘:http://pan.baidu.com/disk/home#path=%252FVerilog


参考1:http://wenku.baidu.com/link?url=dk32mjICpEit1GGy9gKiuFtuS1X0xz9FoLfO9EvzAuhi08yLGWY38aMPl9LZPVhY_nc7OmOgtbZ26ac0Y8bq3T3Kdz-CHbNmokQrItRBeNe&from_mod=download

参考2:http://bbs.ednchina.com/BLOG_ARTICLE_236800.HTM




  • 6
    点赞
  • 72
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值