自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(98)
  • 收藏
  • 关注

转载 [转]jumbo frame介绍

一、MTU的概念 最大传输单元(Maximum Transmission Unit,MTU)是指一种通信协议在某一层上面所能通过的最大数据报大小(以字节为单位),它通常与链路层协议有密切的关系。 由于以太网传输电气方面的限制,每个以太网帧都有最小的大小64bytes,最大不能超过1518bytes,对于小于或者大于这个限制的以太网帧,我们都可以视之为错误的...

2019-09-16 17:57:00 1784

转载 学习网站

http://www.bubuko.com/info.html转载于:https://www.cnblogs.com/tubujia/p/11497316.html

2019-09-10 14:31:00 179

转载 [转]windows驱动开发-基于WDF的Altera PCIe DMA驱动

版权声明:本文为CSDN博主「黑客三遍猪」的原创文章,遵循CC 4.0 by-sa版权协议,转载请附上原文出处链接及本声明。原文链接:https://blog.csdn.net/Zhu_Zhu_2009/article/details/80790252Altera pcie-avmm dma IP寄存器DMA Descriptor Controller RegistersDMA控制器...

2019-08-29 16:02:00 673

转载 [转]Altera Arria10 FPGA PCIe Avalon-MM DMA设计

本文链接:https://blog.csdn.net/Zhu_Zhu_2009/article/details/80793919FPGA设计DMA原理框图如下,实际应用中把双口RAM换成自己的IP即可,首先使能了内部Descriptor Controller,那么BAR0默认连接到了Descriptor,若想通过PCIe BAR来访问寄存器,就必须得添加一个BAR4,其实可以不用使能内部...

2019-08-26 16:22:00 683

转载 [转]FPGA源同步输出时序约束(一)

原文地址:https://blog.csdn.net/huan09900990/article/details/75467849转载于:https://www.cnblogs.com/tubujia/p/11393097.html

2019-08-22 11:02:00 203

转载 [转]FPGA设计-时序约束(中篇-实例分析)

原文地址:https://blog.csdn.net/u012176730/article/details/54426491转载于:https://www.cnblogs.com/tubujia/p/11393074.html

2019-08-22 10:59:00 290

转载 [转]使用Keil下载单独的Hex文件到单片机内

前言初学STM32时,是通过串口1把Hex文件下载进STM32的,需要一个串口模块,而且还要设置BOOT0和BOOT1电平,然后通过FlyMcu软件进行下载,这也是一种不错的方法,这里我要介绍的是使用JLink调试器和Keil MDK-ARM来下载Hex文件,无需源代码,只需要一个调试器。所需要的工具和软件Hex文件,如Demo_STM32.hexKeil软件,v4或...

2019-08-17 10:46:00 735

转载 [转载]老男孩读PCIe之七:TLP的路由

来源:http://www.ssdfans.com/?p=3720一个TLP,是怎样经历千山万水,最后顺利抵达目的地呢?今天就以上图的简单拓扑结构为例,讨论一个TLP是怎样从发起者到达接收者,即TLP路由问题。PCIe共有三种路由方式:基于地址(Address)路由,基于设备ID(Bus number + Device number + Function Numb...

2019-08-16 15:59:00 458

转载 [转]老男孩读PCIe之六:配置和地址空间

转自:http://www.ssdfans.com每个PCIe设备,有这么一段空间,Host软件可以读取它获得该设备的一些信息,也可以通过它来配置该设备,这段空间就叫做PCIe的配置空间。不同于每个设备的其它空间,PCIe设备的配置空间是协议规定好的,哪个地方放什么内容,都是有定义的。PCI或者PCI-X时代就有配置空间的概念,那时的配置空间如下:整个配置空间就是一系列...

2019-08-16 15:47:00 628

转载 [转]老男孩读PCIe之五:TLP结构

来源: http://www.ssdfans.com/?p=3683无论Request TLP,还是作为回应的Completion TLP,它们模样都差不多:图5.1TLP主要由三部分组成:Header,Data和CRC。TLP都是生于发送端的事务层(Transaction Layer),终于接收端的事务层。每个TLP都有一个Header,跟...

2019-08-16 15:14:00 294

转载 [转]老男孩读PCIe之四:TLP类型

转自:http://www.ssdfans.comPCIe邮包从树根出发怎样翻山越岭到达端点?想要和老男孩还有全世界的大牛讨论SSD及存储相关技术?加nanoarch为微信好友,拉你进ssdfans微信群。欢迎给ssdfans投稿,投稿就能加入ssdfans作者群,和冬瓜哥,蛋蛋等大咖切磋武艺。Host与PCIe设备之间,或者PCIe设备与设备...

2019-08-16 14:36:00 686

转载 [转]老男孩读PCIe之三:PCIe分层结构

来源: http://www.ssdfans.com/?p=3700绝大多数的总线或者接口,都是采用分层实现的。PCIe也不例外,它的层次结构如下:图3.1PCIe定义了下三层(彩色部分):事务层(Transaction Layer),数据链路层(Data Link Layer)和物理层(Physical Layer),每层职能是不同的,且下...

2019-08-16 14:28:00 528

转载 [转]老男孩读PCIe之二:PCIe拓扑结构

来源:http://www.ssdfans.com/?p=3692"计算机网络的拓扑结构是引用拓扑学中研究与大小、形状无关的点、线关系的方法,把网络中的计算机和通信设备抽象为一个点,把传输介质抽象为一条线,由点和线组成的几何图形就是计算机网络的拓扑结构。"计算机网络的最主要的拓扑结构有总线型拓扑、环形拓扑、树形拓扑、星形拓扑、混合型拓扑以及网状拓扑。...

2019-08-16 14:19:00 871

转载 [转]老男孩读PCIe之一:从PCIe速度说起

来源: http://www.ssdfans.com/?p=3672从今天开始,老男孩要开始讲PCIe了。对我来说,这是个很大的挑战:首先,我自己本身,对PCIe并没有做到胸有成竹,我的PCIe知识也只是停留在理论阶段,我并没有实际做过任何有关PCIe的东西;其次,我要把PCIe讲得深入浅出,让读者轻易接受,我觉得很难,根本原因就是我还没有做到胸有PCIe;最后,我的文章都会...

2019-08-16 13:20:00 583

转载 [转]Altera Cyclone 4 GX FPGA PCIe SGDMA设计

原文链接:https://blog.csdn.net/zhu_zhu_2009/article/details/82958010转载于:https://www.cnblogs.com/tubujia/p/11321490.html

2019-08-08 15:33:00 289

转载 [原]modelsim仿真altera ip核出现"Failed to open file xxx.hex" for reading

将相关.hex文件复制到modelsim的仿真工作目录下即可。转载于:https://www.cnblogs.com/tubujia/p/11320102.html

2019-08-08 11:23:00 1454

转载 [转]浅谈scatter-gather DMA

在正文开始之前需要先搞明白以下几个问题:1. 什么是DMA?DMA的中文名称叫做 直接内存访问,是一种不需要CPU参与,就能实现数据搬移的技术(从一个地址空间到另一个地址空间)。2. DMA有什么用?一定程度上解放CPU,对于实现 高效嵌入式系统 与 加速网络数据处理 有极其重要的作用。3. DMA的实现简述在实现DMA传输时,是由DMA控制器直接掌管总线,因此...

2019-08-06 16:58:00 827

转载 [转]SDRAM/DDR/DDR2/DDR3/DDR4

参考链接:https://www.zhihu.com/question/57270200https://www.cnblogs.com/cuihongyu3503319/p/9828358.htmlhttps://blog.csdn.net/xingqingly/article/details/45641039转载于:https://www.cnblogs.com/tub...

2019-08-01 09:18:00 739

转载 Altera cyclone系列altlvds调试

altlvds的收发核有两种使用方式,但模型都是一样的,发送端给出发送时钟和数据,接收端接收随路时钟和数据;方式一:内部PLL发送核发出的是慢时钟,但该方式仿真只能到500Mbps;使用该方式时,收、发核同源的话可以共享PLL;使用此方式时接收端需要做数据拼接。方式二:外部PLL这样的好处是不占用PLL,对于一块上下行接口的板卡来说,需要加入2对tx、rx核...

2019-07-19 12:09:00 1622

转载 [转]关于Altera LVDS 经验分享

骏龙科技_技术资料汇总52:关于Altera LVDS 经验分享作者:上海骏龙 毛老师相信大家在帮助客户调试altea lvds接口的时候,都遇到过不少问题。下面两个应该是最常见的:(一)字节对齐字节对齐是我遇到的最多的,收到的数据并不是错了,只是起始bit的位置变了。使用bitslip,比特滑动控制,可以解决这个问题,但有更为简单的方法:只要随路时钟与数率的比值(W),与...

2019-07-16 19:39:00 1886

转载 [转]FPGA的GTP高速串行接口数据收发

原文地址,致谢:https://blog.csdn.net/qq_40261818/article/details/83039829GTP(吉比特收发器)简称Gigabit Transceiver with Low Power,经常应用于板级通信,板与板,应用在高速串行接口的数据收发。在A7系列芯片中我们叫GTP、在K7系列我们叫GTX、V系列叫GTH、对于不同速度等级的高速通信的物...

2019-07-11 20:33:00 892

转载 [转]ISE、vivado、QuartusII调用notepad++、UE汇总(整理)

我已经用惯了notepad++编写Verilog代码,很喜欢这款编辑器,功能真的非常强大。所以,当需要对vivado、ISE或quartus ii中的工程进行Verilog代码上的编写或修改时,只需双击工程中的设计模块便可以在notepad++上工作。不过,在此之前要将notepad++与FPGA应用设计工具进行关联,下面一一介绍:1、notepad++与vivado关联打开vi...

2019-07-11 14:53:00 720

转载 [转]vivado管脚分配:PACKAGE_PIN or LOC

The correct one is PACKAGE_PIN. If you do a "report_property" on the port you will see that it has both a LOC and a PACKAGE_PIN property. The LOC constraint is the location on the die, as in IOB_...

2019-07-11 14:37:00 5270

转载 [转]如何使用WinDriver为PCIe采集卡装驱动

第一步:使用WinDriver生成驱动1.运行Drier Wizard2.点击New host driverproject3.在列表中,选择待安装驱动的设备,这里选择基于PCI的Xilinx数据采集卡4.点击Generate .INF file控件5.在弹出的口中,可以看到待安装驱动的设备,将Devicename处的“D...

2019-06-27 10:22:00 544

转载 [转]以太网接口芯片W5300使用说明

原文地址:https://www.cnblogs.com/rouwawa/p/7250859.html一、芯片简介引用百度百科对芯片的一个简介,我就不再赘述。W5300的目标是在高性能的嵌入式领域,如多媒体数据流服务。与WIZnet现有的芯片方案相比较,W5300在内存空间和数据处理能力等方面都有很大的提高。W5300特别适用于IPTV,IP机顶盒和数字电视等大流量...

2019-06-24 16:01:00 2658

转载 [转]使用Xilinx IP核进行PCIE开发学习笔记(一)简介篇

原帖地址。https://zhuanlan.zhihu.com/p/32786076转载于:https://www.cnblogs.com/tubujia/p/11058713.html

2019-06-20 14:52:00 579

转载 [转]基于脚本的modelsim自动化仿真笔记

见以下原文。https://www.cnblogs.com/IClearner/p/7273441.html转载于:https://www.cnblogs.com/tubujia/p/11051220.html

2019-06-19 14:47:00 128

转载 [转]PCI与PCIe

最近在学习驱动开发过程中涉及到PCI相关知识,在网上看了很多文章,良莠不齐,我总结一下比较好的文章分享给大家,那就从源头开始说起。PCI总线和设备树是X86硬件体系内很重要的组成部分,几乎所有的外围硬件都以这样或那样的形式连接到PCI设备树上。虽然Intel为了方便各种IP的接入而提出IOSF总线,但是其主体接口(primary interface)还依然是PCIe形式。...

2019-06-12 15:01:00 2641

转载 pci-e插槽gen2 x4 link什么意思

PCIExpress是新一代的总线接口。2001年,英特尔公司就提出了新一代的取代PCI总线,称为第三代I/O总线技术。随后在2002年Intel、AMD、DELL、IBM在内的业界主导公司起草完成新技术的规范,命名为PCIExpress。它采用了目前业内流行的点对点串行连接,比起PCI以及更早期的计算机总线的共享并行架构,每个设备都有自己的专用连接,不需要向整个总线请求带宽,而且可...

2019-06-05 10:47:00 3551

转载 [转]UDP中怎么加入心跳包

来自:百度经验,原文地址:https://jingyan.baidu.com/article/cdddd41c9dbf7253cb00e1ac.html心跳包的发送,通常有两种技术。方法1:应用层自己实现的心跳包由应用程序自己发送心跳包来检测连接是否正常,大致的方法是:服务器在一个 Timer事件中定时向客户端发送一个短小精悍的数据包,然后启动一个低级别的线程,在该线程中...

2019-05-31 10:25:00 1139

转载 [转]Wireshark 分析ping报文

原文地址:https://blog.csdn.net/u010999240/article/details/52969384转载于:https://www.cnblogs.com/tubujia/p/10901067.html

2019-05-21 17:19:00 309

转载 [转]IP报头详解

原文地址:https://blog.51cto.com/lidongfeng/2066272IPv4报头:报头长度:20-60字节bytes白色部分为固定头部部分(20bytes),绿色option选项部分为可选部分。固定头部大小计算:4bit+4bit+8bit+16bit+16bit+3bit+13bit+8bit+...

2019-05-21 15:57:00 227

转载 [转]quartus自动添加altshift_taps

在设计中,发现编译器自动添加了altshift_taps模块,而正是这个模块,造成了时序违规。编译器自动添加自然是为了取得更好的编译效果,但是这用自动添加的模块,会让我们有一种无从下手的感觉,时序违规了,还不知道怎么调整,常用的添加寄存器打拍的方式也不管用了,所以,开始想,能不能关掉这个自动添加的模块。于是在设置里找找在设置,分析综合(analysis &synth...

2019-05-16 18:14:00 600

转载 [转]为什么udp为什么不能发送大于1472字节数据

在进行UDP编程的时候,我们最容易想到的问题就是,一次发送多少bytes好? 当然,这个没有唯一答案,相对于不同的系统,不同的要求,其得到的答案是不一样的,这里仅对像ICQ一类的发送聊天消息的情况作分析,对于其他情况,或许也能得到一点帮助:首先,我们知道,TCP/IP通常被认为是一个四层协议系统,包括链路层,网络层,传输层,应用层.UDP属于运输层,下面我们由下至...

2019-05-16 11:30:00 252

转载 [转]CRC校验

一、CRC原理。 CRC校验的原理非常简单,如下图所示。其中,生成多项式是利用抽象代数的一些规则推导出来的,而模2加(也就是异或),是对应于有限域的除法。二、CRC算法。那么在FPGA当中,也有好几种算法。1、比特型算法。这种算法,跟手算的差不多,一个时钟周期处理一个bit,速度慢,但消耗的面积小。...

2019-05-15 13:41:00 258

转载 [转]异步复位和同步释放电路的详细解释

转载自:http://blog.csdn.net/gtatcs/article/details/89311231、首先给出异步复位信号亚稳态的原因:  复位结束也就是释放的时刻恰在时钟上升沿的建立时间和保持时间之间时无法决定现在的复位状态是1还是0,造成亚稳态。  下面是具体解释:  在带有复位端的D触发器中,当reset信号“复位”有效时,它可以直接驱动最后一级的与非门...

2019-03-07 09:33:00 171

转载 [转]royer推挽自激电路图文介绍

Royer结构为自振荡形式,受元件参数偏差的影响,不易实现严格的灯频和灯电流控制,而这两者都会影响灯的亮度。尽管如此,Royer结构由于结构简单,技术成熟,且具有价格上的优势,因此,在液晶彩电中应用比较广泛。royer推挽自激电路图下图是Royer结构的基本电路,也称为自激式推挽多谐振荡器。它是利用开关晶体管和变压器铁芯的磁通量饱和来进行自激振荡,从而实现开关管“开/关”转换的直...

2019-01-30 09:27:00 2582

转载 [转]串口收发float型数据

如果是格式化 ,用sprintf/printf;如果发送原始内存数据流,可按下面发送,发送floata=1.23;doubleb=3.2;SendBytes(*(u8*)&a,sizeof(a));SendBytes(*(u8*)&b,sizeof(b));上位机接到的十六进制码,再按同样格式转回来。如 分别接收到 s1...

2018-12-17 15:29:00 816

转载 [转]快速了解modbus

估计能搜索到这篇经验的,都了解串口通信了,串口是数据透传接口,一般使用串口调试软件的默认 8n11模式就可以,高能的来了:第一点:modbus就是一次用串口连续发多个字节!!!!!就这么简单!!!!如果想在深入一些,那我再告诉你,第二点:连续发出的多个字节是按顺序排好的就可以了如果想要完成一个双向通信,那你还要再了解一点就是第三点:发多少个字节?字节顺序如何排列?本篇只讲主站...

2018-12-11 11:22:00 136

转载 [转]SPI上下拉电阻

转载于:https://www.cnblogs.com/tubujia/p/10069291.html

2018-12-05 10:03:00 7971

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除