[转]vivado管脚分配:PACKAGE_PIN or LOC

The correct one is PACKAGE_PIN. If you do a "report_property" on the port you will see that it has both a LOC and a PACKAGE_PIN property. The LOC constraint is the location on the die, as in IOB_XnnYmm - its grid coordinates. The PACKAGE_PIN property is the name of the package pin to which it is connected. So the correct one is the PACKAGE_PIN.

 

However, both in UCF as well as in the earliest versions of Vivado, the LOC property was used. So, when you apply a LOC property to a port, it actually cheats, and assigns the value to the PACKAGE_PIN property instead. As a result, the net result is exactly the same if you assign the LOC or PACKAGE_PIN property - but PACKAGE_PIN is the correct one, and in some future version of the tool it may no longer accept LOC in place of PACKAGE_PIN.

转载于:https://www.cnblogs.com/tubujia/p/11169848.html

  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Vivado的Tcl本中,`ipx::package_project`命令用于将IP项目打包为可分发的IP(Intellectual Property)。 打包IP是将设计、源代码和约束文件等打包为一个独立的IP核,以便在其他项目中重复使用。使用`ipx::package_project`命令可以执行以下操作: 1. 打包IP:该命令将当前项目(或指定的项目)打包为一个IP核。 2. 生成IP目录:该命令将IP核生成到指定的目录中,包括源文件、约束文件、文档等。 3. 生成元数据文件:该命令将IP核的元数据生成为`.xml`文件,描述了IP核的属性、接口、参数等信息。 4. 生成版本控制信息:该命令将IP核的版本控制信息生成到指定的目录中,以便进行版本管理。 以下是一个示例Tcl本,展示了如何使用`ipx::package_project`命令打包IP项目: ```tcl # 创建一个新的项目 create_project my_ip_project ./my_ip_project # 添加源文件和约束文件 add_files ./src/my_ip.v add_files ./constraints/my_ip.xdc # 打包IP项目 ipx::package_project -root_dir ./my_packaged_ip -vendor your_vendor_name -library your_library_name -taxonomy your_taxonomy # 关闭项目 close_project # 退出Vivado exit ``` 在上述示例中,`ipx::package_project`命令将当前项目打包为IP核,并将生成的IP核文件和元数据文件保存在`./my_packaged_ip`目录中。您需要将`your_vendor_name`替换为您的供应商名称,`your_library_name`替换为您的库名称,以及`your_taxonomy`替换为您的分类信息。 希望能帮助到您!如果您还有其他问题,请随时提问。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值