计算机组成原理基础知识-控制单元的设计

九.控制单元的设计

--控制单元的两种设计方法:

  -组合逻辑设计

  -微程序设计

    微指令控制器:软件控制硬件

    微操作:执行部件接受微命令后进行的操作

    工作过程:在微程序控制器的控制下,计算机执行机器指令的过程

    微指令的编码方式:直接编码(直接控制)方式、字段直接编码方式、字段间接编码方式、混合编码、其他

    微指令序列地址的形成:直接由微指令的下地址字段指出、根据机器指令的操作码形成、增量计数器法、分支转移、通过测试网络形成、由硬件产生微程序入口地址

    微指令格式:水平型微指令、垂直型微指令

转载于:https://www.cnblogs.com/zyy-xn/p/6247598.html

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值