sqrt的实现

采用二分的策略:

class Solution {
public:  
    int sqrt(int x) {
         if(x <= 0)
         return 0;
         long long low = 1, high = x, mid;
         while(low <= high){
              mid = (low + high) >> 1;
              if(mid * mid > x)
                  high = mid - 1;
              else if(mid * mid == x)
                  return mid;
              else if(mid * mid < x)
                  low = mid + 1;
         }
         return low - 1;
    }
};

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog中的平方根算法可以使用牛顿迭代法来实现。下面是一个使用牛顿迭代法计算平方根的Verilog代码示例: ```verilog module sqrt_calculator ( input wire [31:0] x, output reg [15:0] sqrt ); reg [15:0] next_sqrt; reg [47:0] diff; always @(x or sqrt) begin next_sqrt = sqrt; diff = (next_sqrt * next_sqrt) - x; if (diff > 0) begin next_sqrt = next_sqrt - 1; diff = (next_sqrt * next_sqrt) - x; end while (diff < 0) begin next_sqrt = next_sqrt + 1; diff = (next_sqrt * next_sqrt) - x; end sqrt = next_sqrt; end endmodule ``` 在这个示例中,我们使用了一个输入端口 `x`,用于指定要计算平方根的数值。输出端口 `sqrt` 将给出计算得到的平方根值。 在 `always` 块中,我们定义了一个迭代过程来逐步逼近平方根。首先,我们将当前的 `sqrt` 值赋给 `next_sqrt`。然后,我们计算 `next_sqrt` 的平方,并将其与输入值 `x` 进行比较,得到 `diff`。 如果 `diff` 大于零,则说明 `next_sqrt` 的平方超过了 `x`,需要将 `next_sqrt` 减一,以使其平方小于或等于 `x`。然后,我们再次计算新的 `diff` 值。 接下来,我们使用一个循环来逐步增加 `next_sqrt` 的值,直到 `diff` 大于或等于零为止。这样,我们就得到了最接近输入值 `x` 的平方根。 最后,我们将计算得到的平方根值赋给输出端口 `sqrt`。 请注意,这只是一个简单的示例,可能存在精度或性能方面的改进空间,具体实现取决于您的需求和资源限制。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值