原语

原语

属于 操作系统计算机网络用语 范畴
原语是操作系统的核心,内核或微核提供核外调用的过程或函数称为原语(primitive)。
由若干条指令组成,来完成一定功能的过程,执行必须过程连续,不允许被中断
重点 - - 原子语句,不可分割,执行不可中断(要么全部执行,要么都不执行)

它不是进程而是由一组程序模块所组成,是操作系统的一个组成部分,它必须在管态(一种机器状态,管态下执行的程序可以执行特权和非特权两类指令,通常把它定义为操作系统的状态)下执行,并且常驻内存,而个别系统有一部分不在管态下运行

操作系统用于范畴

类型

  • 请求(Req)型原语,用于高层向低层请求某种业务;
  • 证实(Cfm)型原语,用于提供业务的层证实某个动作已经完成;
  • 指示(Ind)型原语,用于提供业务的层向高层报告一个与特定业务相关的动作;
  • 响应(Res)型原语,用于应答,表示来自高层的指示原语已收到。
  • 11
    点赞
  • 33
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
Designing with Low-Level Primitives Chapter 2. Primitive Reference Primitives ................................................................................................................................................ 2–1 ALT_INBUF ...................................................................................................................................... 2–1 ALT_OUTBUF .................................................................................................................................. 2–3 ALT_OUTBUF_TRI .......................................................................................................................... 2–6 ALT_IOBUF ....................................................................................................................................... 2–8 ALT_INBUF_DIFF ......................................................................................................................... 2–11 ALT_OUTBUF_DIFF ..................................................................................................................... 2–13 ALT_OUTBUF_TRI_DIFF ............................................................................................................. 2–14 ALT_IOBUF_DIFF .......................................................................................................................... 2–19 ALT_BIDIR_DIFF ........................................................................................................................... 2–22 ALT_BIDIR_BUF ............................................................................................................................ 2–25 LCELL .............................................................................................................................................. 2–27 DFF ................................................................................................................................................... 2–28 CARRY and CARRY_SUM ........................................................................................................... 2–29 CASCADE ....................................................................................................................................... 2–30 LUT_INPUT .................................................................................................................................... 2–31 LUT_OUTPUT ................................................................................................................................ 2–32
cycbuffer原语是一种在FPGA设计中常用的原语,用于实现循环缓冲区。它可以用于存储和处理连续的数据流,并提供了一种有效的方式来处理数据的循环读写。cycbuffer原语通常由FPGA厂商提供,并且可以在设计中直接使用。 cycbuffer原语具有多个输入和输出端口,包括数据输入端口、数据输出端口、读指针输入端口、写指针输入端口和控制信号端口。通过控制信号,可以实现对循环缓冲区的读写操作。 以下是一个使用cycbuffer原语实现循环缓冲区的示例代码: ```verilog module cycbuffer ( input wire clk, input wire reset, input wire enable, input wire [DATA_WIDTH-1:0] data_in, input wire write_enable, input wire read_enable, input wire [ADDR_WIDTH-1:0] write_addr, input wire [ADDR_WIDTH-1:0] read_addr, output wire [DATA_WIDTH-1:0] data_out ); reg [DATA_WIDTH-1:0] buffer [0:DEPTH-1]; reg [ADDR_WIDTH-1:0] write_ptr; reg [ADDR_WIDTH-1:0] read_ptr; always @(posedge clk or posedge reset) begin if (reset) begin write_ptr <= 0; read_ptr <= 0; end else if (enable) begin if (write_enable) begin buffer[write_addr] <= data_in; write_ptr <= write_ptr + 1; end if (read_enable) begin data_out <= buffer[read_addr]; read_ptr <= read_ptr + 1; end end end endmodule ``` 在这个示例中,cycbuffer原语被定义为一个Verilog模块。它包含了一个深度为DEPTH的循环缓冲区,每个位置可以存储DATA_WIDTH位的数据。通过write_enable和read_enable信号,可以控制写入和读取操作。写入操作通过write_addr指定写入位置,读取操作通过read_addr指定读取位置。数据输入通过data_in端口,数据输出通过data_out端口。 使用cycbuffer原语可以方便地实现循环缓冲区,用于存储和处理连续的数据流。它在FPGA设计中具有广泛的应用,特别适用于需要处理大量数据的应用场景。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值