2021-04-07

VC2015搭建OpenCV3.4.1环境(超详细教程)

最近自己安装配置了 vs2015+opencv3.4.1,用c++写程序
opencv3.4.1 下载地址https://opencv.org/ 很容易下载 下载完只需解压
程序为32位(即x86格式),需要32位Opencv、realsense
安装Intel.RealSense.SDK2.16.1.exe软件,选默认路径;
将opencv-build文件放在D盘;
解压(或者新建工程)realsense工程,并用vs2015打开;

环境配置

1.安装Intel.RealSense.SDK2.16.1.exe软件,选默认路径;
将opencv-build文件放在D盘;
解压(或者新建工程)realsense工程,并用vs2015打开;

2.右键“我的电脑”-属性-高级系统属性-环境变量-系统变量-path中添加:

D:\opencv-build\x86\vc14\bin

3.在选择项目-属性-vc++目录-包含目录中粘贴如下内容:

C:\Program Files (x86)\Intel RealSense SDK 2.0\include\librealsense2
C:\Program Files (x86)\Intel RealSense SDK 2.0\include
D:\opencv-build\include
D:\opencv-build\include\opencv
D:\opencv-build\include\opencv2

4.在选择项目-属性-vc++目录-库目录中粘贴如下内容:
C:\Program Files (x86)\Intel RealSense SDK 2.0\lib\x86
D:\opencv-build\x86\vc14\lib

5.在选择项目-属性-链接器-输入-附加依赖项中粘贴如下内容:

realsense2.lib
opencv_calib3d341d.lib
opencv_core341d.lib
opencv_dnn341d.lib
opencv_features2d341d.lib
opencv_flann341d.lib
opencv_highgui341d.lib
opencv_imgcodecs341d.lib
opencv_imgproc341d.lib
opencv_ml341d.lib
opencv_objdetect341d.lib
opencv_photo341d.lib
opencv_shape341d.lib
opencv_stitching341d.lib
opencv_superres341d.lib
opencv_video341d.lib
opencv_videoio341d.lib
opencv_videostab341d.lib

代码如下(示例):

#include <opencv2/opencv.hpp>  
#include <iostream>  
  
using namespace cv;  
  
int main() {  
    //读取图片文件  
    Mat src = imread("D:/images/lufei.jpg");  
    if (src.empty()) {  
        printf("文件不存在。。。");  
        return -1;  
    }  
    //创建一个窗口  
    namedWindow("test opencv setup", CV_WINDOW_AUTOSIZE);  
    //在窗口中显示图片  
    imshow("test opencv setup", src);  
    //在一个给定的时间内(单位ms)等待用户按键触发;如果用户没有按下 键,则接续等待(循环)  
    //waitKey(0)无限循环等待  
    waitKey(0);  
  
    return 0;  
}  

debug改为win32或者x86

在这里插入图片描述
在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Gongx_1997

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值