6、七段数码管显示译码器设计与应用

1、实验目的

掌握七数码管显示原理

掌握七段码显示译码设计

进一步熟悉 Xilinx ISE 环境及 SWORD 实验平台

2、实验内容

任务 1:原理图设计实现显示译码 MyMC14495 模块

任务 2:用 MyMC14495 模块实现数码管显示

3、实验过程

任务 1:原理图设计实现显示译码 MyMC14495 模块

1.创建工程并绘制原理图

建立工程 MyMC14495,创建原理图文件 MyMC14495,按原理图绘制

2.原理图仿真

建立基准测试波形文件 MyMC14495,输入仿真激励信号,在 Process 窗口中选择 Simulate Behavioral Model,可以得到仿真波 形图

 

选择 Create Schematic Symbol,生成符号逻辑图 

任务 2:用 MyMC14495 模块实现数码管显示

1.创建工程并绘制原理图

建立工程 DispNumber_sch,创建原理图文件 DispNumber_sch,将 MyMC14495.sym 和 MyMC14495.sch 复制到工程目录下,按原理图绘制。

2.为模块的端口指定引脚分配

建立引脚约束文件 K7.ucf,输入代码,为原理图的每个输入输出 端口分配引脚。 

3.生成 bit 文件并下载到开发板

最左边的两个按钮依次是 point 和 LE。从右边第一个开始向左, 依次是输入第一位,输入第二位,输入第三位,输入第四位,右边第 一个 LED 开关,右边第二个 LED 开关,左边第二个 LED 开关,最左边 LED 开关。以下按照从最左边 LED 开关开始,从左向右的顺序,如: 1111 0001 表示 4 盏灯都亮,显示数字为 1。至于 point 和 LE 单独说明。 以下是实验结果:

 

 

4、实验结果

这里需要说明译码不是转换。译码:十进制的13对应的BCD码是0001 0011,而转换:十进制的10对应二进制的1010

七段译码管是将要显示的数字翻译成七个数码管显示的开关命令。比如C,用共阳控制,就是a,d,e,f 为 0,其余为 1,所有对应的abcdefg就是0110001,对应的十六进制数字就是31,也就是说译码器将C翻译成了31(这个最后的实验会用到)

这里有一个for循环需要说明,其中{D3,D2,D1,D0}=i 这一行,可以看到i是从0到15依次增加的,这里直接赋值就可以了……(当时卡了好一会)

  • 2
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值