自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

托马斯的博客

记录学习

  • 博客(57)
  • 收藏
  • 关注

原创 【Verilog基础】14.编码器和译码器

编码器和译码器

2022-09-03 15:46:37 2580 1

原创 【Verilog基础】13.状态机

状态机

2022-09-03 11:25:36 663

原创 【Verilog基础】12.串并转换

串并转换

2022-09-03 09:55:46 1768

原创 【Verilog基础】11.部分模块代码

部分模块代码

2022-09-02 13:51:48 2049 1

原创 【Verilog基础】10.偶分频和奇分频

分频

2022-09-01 21:30:26 1300

原创 【Verilog基础】8.加法器

加法器

2022-09-01 20:06:41 2106

原创 【Verilog基础】7.计数器

计数器

2022-09-01 19:32:50 3532

转载 【UVM基础】寄存器前门访问和后门访问的实现

寄存器前门访问和后门访问

2022-08-21 20:21:08 3725 1

原创 【UVM基础】关于lock_model

关于lock_model

2022-08-15 10:41:05 993

原创 【VCS仿真错误解决】VCS-MX build is required

【VCS仿真错误解决】VCS-MX build is required

2022-08-12 17:13:50 1261 3

转载 DVT使用教程

DVT使用教程

2022-08-11 17:43:08 1541

原创 【UVM基础】7、寄存器模型

寄存器模型

2022-08-04 14:30:47 3236

原创 【UVM基础】6、sequence和sequencer相关宏

sequence和sequencer相关宏

2022-08-03 16:24:52 1346

原创 【UVM基础】5、sequence、sequencer、item、driver

sequence、sequencer、item、driver

2022-08-02 15:20:41 1470 1

原创 【UVM基础】4、通信

通信

2022-08-01 13:58:02 691

原创 【UVM基础】3、核心基类和机制

核心基类和机制

2022-07-31 19:03:44 684

原创 【UVM基础】2、组件家族

组件家族

2022-07-31 15:02:25 953

原创 【UVM基础】1、工厂机制和覆盖方法

工厂机制和覆盖方法

2022-07-30 21:21:05 695

原创 【数字IC快速入门】QuestaSim使用

QuestaSim使用。记录一下方便参考

2022-07-27 20:03:18 714

原创 Questasim Error(vsim-12110)

Questasim Error(vsim-12110)

2022-07-27 15:19:25 3029 1

原创 【SystemVerilog项目实践】7.AHB-SRAMC(编写Systemverilog Testbench-3)

编写Systemverilog Testbench-3

2022-07-25 20:45:17 2464 7

原创 【SystemVerilog项目实践】6.AHB-SRAMC(编写Systemverilog Testbench-2)

AHB-SRAMC(编写Systemverilog Testbench-2)

2022-07-24 18:15:11 2640 1

原创 【SystemVerilog基础】10.new()和new[]

new()和new[]

2022-07-23 20:34:46 1264

原创 【SystemVerilog项目实践】4.AHB-SRAMC(验证计划)

验证计划

2022-07-23 20:14:06 3037

原创 【SystemVerilog项目实践】5.AHB-SRAMC(编写Systemverilog Testbench-1)

AHB-SRAMC(编写Systemverilog Testbench-1)

2022-07-23 20:11:22 3632 3

转载 【数字IC快速入门】Makefile脚本了解

Makefile脚本知识点

2022-07-22 15:09:52 5914 2

原创 【SystemVerilog项目实践】3.AHB-SRAMC(APB总线)

AHB-SRAMC(APB总线)

2022-07-21 22:29:12 1957

转载 虚拟机VMware Tools安装

虚拟机VMware Tools安装

2022-07-20 18:16:33 30380 1

原创 【数字IC快速入门】linux基本操作

linux常用命令

2022-07-20 16:19:29 996

原创 【SystemVerilog项目实践】2.AHB-SRAMC(AHB总线协议)

AHB总线协议简介

2022-07-20 11:11:56 1916

原创 【SystemVerilog项目实践】1.AHB-SRAMC(AMBA总线简介)

AMBA总线简介

2022-07-18 21:53:23 2580

原创 【Verilog基础】6.符号扩展、同步/异步、阻塞/非阻塞

符号扩展、同步/异步、阻塞/非阻塞知识点

2022-07-16 22:15:40 2447

原创 【SystemVerilog基础】9.功能覆盖率

绿皮书第九章的内容

2022-07-15 15:12:22 3021

原创 【SystemVerilog基础】8.OOP

绿皮书第八章内容

2022-07-14 23:55:33 369

原创 【SystemVerilog基础】7.线程

绿皮书第七章内容

2022-07-13 01:59:24 1053 2

原创 【SystemVerilog基础】6.随机化

绿皮书第6章内容

2022-07-08 01:43:12 1616 1

原创 【SystemVerilog基础】5.类

绿皮书第五章内容

2022-07-06 21:55:04 1201 1

原创 【UVM练习】实验lab5

uvm_lab5

2022-07-06 19:25:09 1168

原创 【SystemVerilog基础】4.测试平台和连接设计

绿皮书第四章内容

2022-07-04 22:10:04 729

原创 【UVM练习】实验lab4

uvm_lab4实验练习

2022-07-04 18:51:21 1103

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除