IC技术圈期刊 2020年 第06期 求职特刊

本期文章目录


如何选择offer

#职场

点击阅读

陌上风骑驴看IC

简历如脸,你想让hr看到哪一面?

#简历 #技巧 #准备

点击阅读

芯启示

有了这份面经,麻麻再也不用担心我找不到工作了!

#笔试 #面试

点击阅读

数字ICer

IC工程师说职场:学生的迷茫,菜鸟的慌张,老手的彷徨...

#职场

点击阅读

不忘出芯

你要的FPGA&ASIC面试题船新版本!!!

#FPGA #ASIC #笔面试题

点击阅读

硅农

备战秋招专辑

#基础知识 #跨时钟域 #面试经验 #备战指导

点击阅读 专辑

摸鱼范式

【世界读书日】:推荐一本Verilog书籍,让技术面先胜半招

#Verilog常问的问题

点击阅读

数字芯片实验室

逻辑综合重点解析55题(Design Compiler篇)

#逻辑综合 #design compiler

点击阅读

数字芯片实验室

值得收藏的常见数字IC、FPGA工程师面试题(附答案)

#数字IC #面试题目 #FPGA

点击阅读

ZYNQ

验证面试攻略专辑

#验证工程师 #Q&A #数字电路 #SV #UVM

点击阅读 专辑

摸鱼范式

某创新公司数字ic设计笔试题

#笔试真题 #数字IC设计

点击阅读

数字IC小站

UVM常考概念题

#笔面试 #UVM

点击阅读

数字IC小站

【干货】八小时超长视频教你掌握FPGA时序约束!

#FPGA #时序约束

点击阅读

网络交换FPGA

一起学IC验证:推荐资料合集,收藏专用

#求职 #学习资料

点击阅读

ExASIC

如何在技术面试中脱颖而出——实践加思考

#面试技巧

点击阅读

ExASIC

2019 IC大吉大利秋招突击营,免费入营机会就在眼前!!

#秋招福利

点击阅读

路科验证

关于工作的几个想法

#工作感悟

点击阅读

icsoc

FPGA/ASIC笔试面试题集锦(1)知识点高频复现练习题

#FPGA/ASIC #笔试题 #面试题

点击阅读

FPGA LAB

IC前端怎么面?赶紧拿个小本本记下来!附2020最全IC校招薪资!

#IC前端 #面试流程 #校招

点击阅读

两猿社

想进大厂?70道数字IC设计面试经典题送给你(附答案)

#数字IC #面试题 #FPGA

点击阅读

ZYNQ

2020大疆数字芯片设计岗真题解析

#数字ic #大疆 #面试 #真题

点击阅读

数字ic自修室

C++面试题

#FPGA #C++ #IC

点击阅读

精进攻城狮

串扰,窗口以及CRPR对Delta Delay的处理方式

#串扰 #窗口 #CRPR #Delta Delay

点击阅读

RTL2GDS

IC验证工程师高效战斗手册

#笔记 #职场 #验证

点击阅读

杰瑞IC验证

毕业季,过来人的一点建议

#职业选择

点击阅读

白山头讲IC

2020届华为硬件逻辑岗真题详解

#FPGA #华为 #笔试 #真题

点击阅读:(一)(二)(三)(四)(五)(六)(七)(八)(九)(十)(十一)(十二)

达尔闻说

华为硬件逻辑岗笔试题攻略(总)

#笔试 #华为

点击阅读

达尔闻说

2020届大疆FPGA逻辑岗真题详解

#FPGA #大疆 #笔试 #真题

点击阅读:(一)(二)(三)(四)(五)(六)(七)(八)(九)(十)(十一)(十二)

达尔闻说

2021届vivo提前批芯片设计岗真题解析(新鲜出炉)

#芯片设计 #vivo #笔试 #真题

点击阅读

达尔闻说

攻城狮职场入门必备秘籍

#职场秘籍

点击阅读

瓜大三哥

Xilinx FPGA Partial Reconfiguration 部分重配置 详细教程

#FPGA #Partial Configuration #部分重配置

点击阅读

科学计算technomania

往期期刊


IC技术圈期刊 2020年 第01期

IC技术圈期刊 2020年 第02期

IC技术圈期刊 2020年 第03期

IC技术圈期刊 2020年 第04期

IC技术圈期刊 2020年 第05期

IC技术圈简介

2020年元旦,我们,十多位IC行业的公众号号主,共同建立了一个 “ IC技术圈 ” 。经过短短几个月的发展,目前有37位成员。我们将在这里或分享经验,或传授技巧,或聊求职就业,或谈人生规划。

长按下方二维码

浏览IC技术圈官方网站

http://iccircle.com


点击“阅读原文”,进入IC技术圈

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值