自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

  • 博客(34)
  • 资源 (32)
  • 问答 (1)
  • 收藏
  • 关注

原创 docker 里使用vcs 2018 verdi等eda 图形界面

apt-get --yes dist-upgrade到这一句的时候,又出错了,我尝试把阿里云的源加上,然后apt-get update之后,重新执行。至于为啥跟我之前给ubuntu2204添加vnc的时候不一样,因为eda的这个镜像里,原作者已经安装了vnc,ssh,vnc4server等这些东西。如果只是编码,编译可能问题不大,但是如果要看波形之类的,就没法实现了。我也试过原封不动的照搬ubuntu2204的安装过程,事实证明有依赖库冲突,我也不会解决,索性就用原作者已经安装的东西吧。

2024-01-26 09:14:20 1360

原创 尝试给docker ubuntu22.04镜像添加远程访问桌面

实在时不愿折腾,但是ubuntu 镜像没有桌面,有些操作实在太难受了,效率也不高。要是个虚拟机,这折腾来去,直接崩溃了。docker的好处就是立马就能从头来过,秒级的删除安装。apt-get --yes dist-upgrade到这一句的时候,又出错了,我尝试把阿里云的源加上,然后apt-get update之后,重新执行。今天就到这里,ubuntu上的远程界面搞定了,后面看一下怎么让咱们的EDA镜像跑起桌面来。原来的覆盖形式,直接让我无法使用apt。然后再apt update就可以正常了。

2024-01-24 23:26:18 638

原创 docker 使用 vcs/2018 Verdi等 eda 软件

Synopsys EDA Tools docker image - EDA资源使用讨论 - EETOP 创芯网论坛 (原名:电子顶级开发网) -大家可以参考这个博主的用法,一句话,等它下载完就能用了。睡了一觉,所有的东西都安装好了,何乐而不为?关于docker的用法,我觉得比linux简单多了。下面这位博主整理的就挺好。有博主几年前就搞定了docker上的EDA工具。好不容易在ubuntu 安装好了eda软件,转眼就发现了自己的无知。docker基本用法总结_docker用法-CSDN博客。

2024-01-19 21:28:34 946 1

原创 vcs makefile

不过我用这个vcs2018,如果带着uvm编译会报错,不知道咋解决,后续再看吧。只需要在当前文件夹下创建一个filelist,把待编译的文件都列进去即可。VCS使用Makefile教程_vcs makefile-CSDN博客。基于vcs2018,写了一个小module,编译通过,执行正常。

2024-01-14 23:02:18 584 1

原创 ubuntu 2022.04 安装vcs2018和verdi2018

【ASIC】VCS报Error-[VCS_COM_UNE] Cannot find VCS compiler解决方法_error-[vcs_com_une] cannot find vcs compiler vcs c-CSDN博客。后续编写一个vcs的makefile,其实主要是抄别人的,然后改改,再分享给大家。附上我的.bachrc 里的一些内容。修复了安装时参考的那位朋友的一些小笔误。这个只是个小例子,后续如果要添加波形之类的,编译选项还需要继续添加。目录有些差异,大家参考的时候根据自己的情况来。

2024-01-14 21:54:02 1084 1

原创 寒门想实现阶层跃迁?太难了

这个话题太敏感了,寒门本身就有很多人不认可,或者不愿意认可。不过我也是寒门,特别寒那种。跟很多人一样,受益于高考,有机会出门而已。说白了出身寒门的孩子,物质基础不用说了,更重要的差异是文化,精神基础。很多人认为,大家都是高考六百分的孩子,文化一样的。其实很多情况下是差远了。在寒门眼里,六百分几乎是所有的文化,但是在非寒门眼里,六百分,可能只是一方面。他们还有琴棋书画,还有名山大川,还有各种各样的精神世界。不说别的,就说PC吧。我那个时代PC还没有普及,在寒门阶层还没有普及,但工薪阶层几乎已经普及了。那时候我

2021-04-08 11:15:07 178

原创 STM32H7 LTDC与DMA2D外设(五)

(四)中提到一个问题,LTDC 使用双buffer,花屏问题。四处查找也没找到对应的办法,自己试了很多方式也没解决。没法子,只好使用LTDC的单buffer。使用单buffer,看起来简单了,其实不然,我是希望保持ARGB8888格式不变,这样用int型变量正好一个像素,省去了3byte的间隔的问题。没有双buffer,前景色和背景色的融合就需要dma2d了。此时如果还用之前的方式,我竟然失败了。没有把预期的像素显示出来。想法很简单,一片空间,我赋值之后,用dma2d搬移到ltdc的单buffe

2021-03-29 17:49:46 717

原创 STM32H7 LTDC与DMA2D外设(四)

增加DMA2D功能。应用比较简单,没有遇到什么坑,使能dma2d,调一下函数,就可以了。没有想象中的那么多坑,这个还算顺利。也可能是我应用的太简单,只是搞了个搬移,没有做复杂的图形,位移之类的东西。这个先不试了。我打算做个简单的UI,目前具体用什么外设还不清楚,是不是\ltdc就可以了呢?先做着看吧。下一步就是移植os过来。os有很多选择,rt thread是这个开发板原配,但是我不喜欢rt thread,我想试试lite os。huawei的rtos。试试好用不。移植os估计得有点耐心

2021-03-26 23:22:31 519

原创 STM32H7 LTDC与DMA2D外设(三)

进一步调试通过了双缓存的LTDC,还没有加入DMA2D。代码上到giteee上了。https://gitee.com/guyspring/CubeMX_ArtPi总结一下自己犯的错误。首先是alpha配置问题,刚开始做的时候,配置的全为0,或者其中一个buffer的alpha为0,最终显示的都是黑屏。把alpha改成255,就可以了。也不用配置别的,开两个缓存,往里写东西,就等于显示到屏幕上了。双缓存都是ARGB888,高8位都是alpha,也都是255,后续看怎么应用吧,目前还没想好。

2021-03-25 23:00:28 501

原创 STM32H7 LTDC与DMA2D外设(二)

接着(一)继续有幸把我第一条LTDC的例子在开发板上显示出来了。其实这里面主要是因为我没好好看LCD手册,没搞清楚LTDC的原理导致的。前者导致LCD始终无法显示有效颜色,后者导致我的GRAM内像素格式错误。之前始终把LTDC和DMA2D混为一潭,认为LTDC的2层和DMA2D的2层混合是一个东西,俩必须一起用。(至少看到的很多例子都是这么做的)。后来发现LTDC本身就是一个支持2层混合的外设。与DMA2D功能上一样的,至于为什么很多例子都一起用,后续再试试。目前感觉是速度问题。单层显示的LTD

2021-03-24 11:28:22 607

原创 STM32H7 LTDC与DMA2D外设 (一)

查了很多资料,可能是我看的太粗,一直没搞清楚LTDC的原理。找了几个例子,自己改了改,跑了跑,感觉大致的原理应该是这样的。 LTDC外设可以看成是一种M2LCD的的DMA,LTDC的初始化结构体中有一段映射地址,这个地址一般为片外RAM,也可以片内。程序修改这个RAM对应地址段的值,就会体现在屏幕上。就好比一个大数组,每个元素对应LCD的一个像素色。例如RGB888,那么每个像素点,有三个色,各占8bit,一个字节。一个像素点24bit,3字节。开辟一个这样的数组,与LTDC的起始地...

2021-03-23 11:41:59 1150

原创 RT-Thread art pi QSPI片外执行程序摸索

官方例程中有bootloader和led闪灯等小程序,我想基于官方的BootLoader,开发一个自己的闪灯小程序,把led闪灯程序放入QSPI中。原子的北极星跟artpi是同一款芯片,但是在那一打实验中,我没找到QSPI放执行程序的例子。或许是我看错了。本来以为只需要将下载算法和编译存储位置rom addr和向量addr设置对就可以的。但是我用cubomx生成的程序,片内flash可以正常闪灯,下载到片外QSPI flash中就不可以。但是artpi的官方例子可以。所以排除硬件问题,就一定是我的哪

2021-03-15 11:13:15 316 3

原创 策略模式

李建忠给的例子是一个国际化的典型案例。在做程序界面多国语言支持的时候,经常会遇到这个问题。换句话说,这个例子虽然非常典型,但还是有点专业。很多人接触不到这种项目。我在想有没有更通俗一点的例子?...

2021-01-02 21:52:02 87

原创 上兵伐谋,其次伐交,再次伐兵

如果把写代码称之为战斗,那么编码方案应该称得上是伐交,需求分析,应该称得上是伐谋。写代码是战术行为,也是门槛最低,代价最大的行为,也是最容易立功,留名的行为。纵观历史,战术大师数不尽数,几乎每一场战斗,都有人名留青史。而外交大师,却少了很多。那些靠策略阻止战争的人,并没有都留下名来。其实也很容易理解,那些阻止战争的人。基本都停留在了会议室,决策者参与的人太少,没法广泛流传。跟开战不同,一旦启动战争,全国都会被发动起来,一旦有战斗胜利,这个英雄人物就会被大肆宣传,封官进爵。而谋略大师就少之更少了,这些人,基本

2020-12-16 10:02:26 254 3

原创 观察者模式

已知有模块A需要给另外模块X传递信息,信息内容确定,但模块的处理可能不同,或许模块的个数会发生改变。就好比舞台中央的演员,观众有若干个,演员只有一个。每个观众看的内容一致的,但是,感受不一样,反应就不同。为了解决这个问题,A不可能复制多个,或者也不可能给每个接收者做一个接口。第一工作量太大,第二不确定性太强,维护成本太高。此时需要一种方法,兼容任何一种接收者,还可以自适应接收个数。不过如果接受者确定只有一个,只是具体的接收对象可能变化,那就不用自适应个数。已知发送者,求接收者怎么描述。要想减少接口,

2020-12-16 09:32:37 82

原创 模板模式

最近看李建忠老师的设计模式课程,做些笔记。每个人有自己的学习方法,我更喜欢把每个设计模式当作应用题来做。所谓的稳定,可以认为是已知项,所谓的变化,可以认为是未知部分。就像小学生的应用题,已知某些条件,求算未知数。先从模板模式开始。假如有这样一个项目,已知若干部分的主要功能和流程,求代码如何实现。几乎所有的项目都会这样出题,或者说,这道题满足所有的项目。不过没关系,还需要继续加条件。随着条件的增多,便会指引我们选择到一个合适的设计模式。继续分析,发现这些模块功能和流程有很多相似处。首先流程

2020-12-14 23:04:16 86

原创 Netbeans C++ 生成静态库 .a 动态库.so

工程右键,属性(properties) 建立(build)-> project defaults -> configuration Type 选择 static library(如果动态库,就选dynamic library)

2020-12-14 17:41:14 183

原创 nlohmann c++ json库

最近在做项目的时候遇到一个要打印出文件的需求,还要作为另外一个程序的输入。这明显是数据交换。要么我要跟接收方定好数据格式,然后打印文件,解析文件。也不是不行,只是写这些没有技术含量的代码实在是浪费生命。这时候就想到能不能用通用的工具来搞定?首先我想到的是json和xml。xml我是真不太喜欢,太丑了,辣眼睛。那就选json吧。然后找json库。刚开始我看很多人推荐rapid json。说是业界最快的库。我看了几个例子,感觉不好用,或者是我没看太懂吧。如果看懂了,估计也没啥难度。后来又翻了个nlo

2020-12-09 23:04:15 804

原创 关于并行计算的一些试用

最近尝试了几种并行计算的方法。1、openMP。这应该是gnu本身自带的一个插件,编译选项只需要加上 -fomp就可以了。使用时在for循环或者需要并行的语句前加上预处理选项。#pragma omp parallel等等,有若干种,整体思路就是把一些无关的函数并行计算。注意,一定是无关的,如果有先后关系的,可能计算结果就有问题了。这个用起来很简单,尤其是for循环等,加速很明显,可以加速到cpu核数倍。2、TBB。线程构建模块。这个是intel的库,可以让任务并行。不过需要修改代码,从task等扩展

2020-12-03 09:43:15 135

原创 Linux 下编译 Thread Building Blocks TBB

不得不说,什么库如果不自己编译一遍,完全不知道什么坑就拿TBB来说吧,博客上很多人都试过,也都成功过,我想照抄都不行。就连库上下载的代码都不一样。后来才发现,库都不是同一个。行吧,既然如此,认了吧!首先,下载库,我用的是这个:(千万不要去下载master,找个releases下吧,master上的未必能编译过,而且那个不是普通用户的)https://github.com/oneapi-src/oneTBB/releases/tag/v2020.3第二,解压编译解压后里面就是一堆目

2020-11-27 14:58:00 829

原创 C++ Linux gcc 并行计算写法

编译选项修改 CMAKE_CXX_FLAGS 里加上 -fopenmp for循环前增加一句编译参数 #pragma omp parallel for 编译重跑,一般可以获得CPU核心数为倍数的加速。 只能加速for循环,而且是同一个for循环,多个for(1)的加速不了。...

2020-11-18 14:40:15 562

原创 Little VGL + code::blocks + 模拟器

安装vs code,去官网下载安装就好:https://code.visualstudio.com/ 在vs code里安装一个扩展组件 platformIO IDE(这个插件安装的很慢,慢慢等待吧……………………) 下载编译器mingw,去这个网址:https://www.msys2.org/ 首页就有很详细的教程,按步骤安装就好了 ...

2020-11-15 21:43:48 1050

原创 C++ [] = 运算符重载,终于静心试了一下,以前都是拷贝了修改…………不知所以

之前一直困惑或者畏惧的运算符,今天算是做了一个初步的了解。做了一个分段数组的数据类,里面包含若干段数据,但是序号不是连续的,为了方便快速使用[],查到数据值,最好的办法就是重载[]运算符。找了些按理,都没有解释清楚,写法的具体含义。class Data{public: std::vector<std::vector<unsigned>> data ; unsigned& operator[](unsigned idx)// ()里表示[]里...

2020-10-31 15:38:57 277

原创 C++ callback函数

C callback。这类callback很简单,只需要将函数指针作为参数传递给另外一个函数即可 C++ callback。如果是类成员函数作为callback函数,就会比较麻烦。static 成员函数方法。这种方法其实是跟1类似的。将成员函数转化为静态函数,唯一标识的函数指针地址。所以跟1类似。用法也跟1类似了,传递函数指针即可。 动态绑定方式。这种方法不要求成员函数为静态,但是必须把对象指针一起传递。这种写法上就很麻烦了。我是这么写的,先用模板创建类M,将想注册的函数的类A作为类型传递给M。同时,

2020-09-15 19:45:37 2577

原创 单实例 static 类成员变量

a.hclass A{ static int* x ;}a.cppint* A::x = 0 ;*注意:初始化不要放在头文件中,多次包含会报错初始化一定要有,否则会报undefined reference xxx。

2020-09-10 11:35:24 148

原创 编译systemc modeling library scml2

编译systemc 2.3.3,参考https://blog.csdn.net/guyspring/article/details/104482118 编译scml2库 与编译system2.3.3时类似,先在目录下建立一个build文件夹 进到build文件夹中,../configure --prefix=/xxx(安装的目标目录Y) --with-systemc=/xxx(已经编译好的systemc的位置) 如果需要指定c++版本的话,一样需要CXXFLAGS=-std=c++11等参数 m

2020-08-25 16:36:51 304

原创 Cmake 添加静态库和动态库

动态库:需要一个路径位置,需要库文件名。link_directories() 指定库位置target_link_libraries() 添加库文件名。注意:库文件名,会被自动添加前缀lib,后缀.so。最后就变成了这样lib库.so这样做,可以让动态连接写法简单些,但是规则要首先熟悉才行,要不就找不到库了。静态库:需要指定文件位置,必须是绝对路径。例如 /a/b/c/d/libxxx.alink_libraries(/a/b/c/libxx.a)...

2020-08-21 12:01:52 2622 4

原创 这块硬盘怎么样?

2020-04-21 15:48:33 97

原创 Python 的label entry button frame的简单用法

一个输入框,一个标签,一个buttonimport tkinter as tkimport osdef hello(): val = os.system("ls -al") print(val) print("Say hello to everybody!") print(etyText.get()) #os.system("python3 ...

2020-04-06 22:07:10 464

原创 CMake 添加编译选项 -include

用惯了makefile 很多编译选项可以直接加。切换到cmake之后,发现变化很大。不过仍然可以不变应万变。毕竟编译选项跟GCC有关,跟CMake还是makefile无关,只需要把编译选项加进来就行了,只是方法不同而已。例如想使用c++11,只需要在cMakeLists.txt中加上set(CMAKE_CXX_FLAGS "${CMAKE_CXX_FLAGS} -std=c++11")...

2020-04-01 09:32:27 2311

原创 C11编译 systemc 2.3.3 和 SCV 2.0.1

CC=c11 ../configure../configure --prefix=/home/guyspring/CLionProjects/sc-2.3.3/sudo apt-get install automake

2020-02-24 22:23:57 1640 6

原创 cmake 编译systemc 2.3.3

cd systemc-2.3.3/cmake ../ -DCMAKE_CXX_STANDARD=11 -DCMAKE_BUILD_TYPE=Debugcmake --build .

2020-02-24 16:38:39 540

原创 【求教】python 将excel 导入mysql数据库

如果不用xl读取再写入数据库的方法,是否有直接将excel导入数据库的方式?命令?可以要求excel按照一定的格式,最好不要读取除了再写入数据库,这个太麻烦了。...

2020-02-15 20:06:17 180

原创 deepin 安装 mysql 和 python遇到的问题

主要是安装mysql-python 报错 EnvironmentError: mysql_config not found然后用这个命令可以解决:sudo apt install default-libmysqlclient-dev~/Desktop$ sudo pip install MySQL-pythonCollecting MySQL-python Dow...

2020-02-13 20:39:24 1469

systemverilog-lrm.pdf

systemverilog-lrm.pdf

2021-04-13

mpi31-report

This document describes the Message-Passing Interface (MPI) standard, version 3.1. The MPI standard includes point-to-point message-passing, collective communications, group and communicator concepts, process topologies, environmental management, process creation and management, one-sided communicat

2020-12-01

mpich-3.3.2.zip

MPICH is a high-performance and widely portable implementation of the MPI-3.1 standard from the Argonne National Laboratory. This release has all MPI 3.1 functions and features required by the standard with the exception of support for the "external32" portable I/O format and user-defined data rep

2020-11-30

lvgl_sim.zip

littlevgl PC模拟器,code blocks工程。需要自取,如果需要最新代码编译结果,请移步博客查看,按步骤重来一遍。 https://mp.csdn.net/editor/html/109705769

2020-11-15

lvgl-release-v7.zip

Powerful building blocks: buttons, charts, lists, sliders, images, etc. Advanced graphics: animations, anti-aliasing, opacity, smooth scrolling Use various input devices: touchscreen, mouse, keyboard, encoder, buttons, etc. Use multiple displays: e.g. monochrome and color display Hardware independen

2020-11-14

lv_port_stm32f746_disco_sw4stm32-master.zip

Light and Versatile Graphics Library LVGL is an open-source graphics library providing everything you need to create embedded GUI with easy-to-use graphical elements, beautiful visual effects and low memory footprint.

2020-11-14

FreeRTOSv202011.00.zip

FreeRTOS™ Real-time operating system for microcontrollers Developed in partnership with the world’s leading chip companies over a 15-year period, and now downloaded every 170 seconds, FreeRTOS is a market-leading real-time operating system (RTOS) for microcontrollers and small microprocessors. Distr

2020-11-12

设计模式(GoF).7z

**这么明显:一二三四五六七八九 非要我说出来这是密码吗? (Gang of Fou)四人组 Design Patterns: Elements of Reusable Object-Oriented Software(即《设计模式》),由 Erich Gamma、Richard Helm、Ralph Johnson 和 John Vlissides 合著

2020-10-29

eda-playground-readthedocs-io-en-latest.zip

In a separate web browser window, log in to EDA Playground at: http://www.edaplayground.com Log in. Click the Log in button (top right) Then either click on Google or Facebook or register by clicking on ‘Register for a full account’ (which enables all the simulators on EDA Playground) Select ‘Aldec Riviera Pro’ from the Tools & Simulators menu. This selects the Aldec Riviera Pro simulator, which can be used however you logged in. Using certain other simulators will require you to have registered for a full account. In either the Design or Testbench window pane, type in the following code: module test; initial $display("Hello World!"); endmodule (Note: The code in the right Design pane is compiled first, followed by code in the left Testbench pane.)

2020-07-29

微型GUI 只有俩文件

Open Source GUI module for embedded systems µGUI is a free and open source graphic library for embedded systems. It is platform-independent and can be easily ported to almost any microcontroller system. As long as the display is capable of showing graphics, µGUI is not restricted to a certain display technology. Therefore, display technologies such as LCD, TFT, E-Paper, LED or OLED are supported. The whole module consists of two files: ugui.c and ugui.h.

2020-07-10

Kluwer_Academic_-_SystemC_From_The_Ground_Up_(2004).pdf

Kluwer_Academic_-_SystemC_From_The_Ground_Up_(2004)

2020-07-08

TLM_2_0_presentation.ppt

The TLM-2.0 transaction level modeling standard from the Open SystemC Initiative (OSCI) was released on 9th June 2008. The official release ...

2020-07-05

Accellera_SystemC_AMS_Users_Guide_January_2020.pdf

This user’s guide is meant as an introductory guide for electronic system-level engineers and architects who would like to use the SystemC™1 analog/mixed-signal (AMS) extensions for their system-level design and verification tasks. The main aim is to provide a self-learning guide on how to use the SystemC AMS extensions by explaining the modeling fundamentals and giving examples on how to start with AMS system-level design at higher levels of abstraction. It assumes that the user has some prior knowledge on SystemC modeling and simulation and C++ in general and is familiar with analog/mixed-signal design and modeling. After going through this guide, the reader should be in a position to start using the SystemC AMS extensions, and should be able to: — Get insight into the applicable use cases and requirements of the SystemC AMS extensions. — Understand the introduced models of computation and associated execution semantics. — Use the language constructs to create discrete-time and continuous-time models at different levels of abstraction. — Combine SystemC and the AMS extensions to design a mixed-signal system. — Perform time- and frequency-domain analysis and tracing of AMS signals. The AMS design methodology, modeling style, and examples given in this user’s guide are based on IEEE Std. 1666™-20112,3, IEEE Std. 1666.1™-2016 and the C++ programming language defined in ISO/IEC 14882:20034. Any simulator implementation compatible with this standard can be used to build and execute these examples5.

2020-07-05

SystemC-Primer[4].pdf

【内容简介】:这是一本SystemC的入门教材,它通过引用常见的数字设计概念,循序渐进地引导读者学习SystemC的各种复杂特性。 【目录】: Chapter 1: Introduction of SystemC 1.1 What is SystemC? 1.2 WHy SystemC? 1.3 Design Methodology 1.4 Capabilities 1.5 SystemC RTL 1.6 Book Organiz

2020-07-05

systemc-2.3.3.zip

SystemC Thank you for accepting the terms of our license agreement. You can download current and previous specifications from this page. Please bookmark this page for quick access in the future. 包含源码库,各类官方文档等。

2020-07-05

SystemC_2_1_features.pdf

This document provides a list of the features and modifications in SystemC 2.1 over SystemC 2.0.1

2020-07-05

uCGUIBulider

uCGUIBulider ucgui 图形界面设计

2013-03-31

IAR for ARM 6.50.3 破解补丁

1.安装 EWARM-CD-6503 2.复制 “IAR for ARM 6.50.3 破解补丁.exe”文件到EWARM安装目录内。 3.运行“IAR for ARM 6.50.3 破解补丁.exe”文件, 单击“Patch”按钮。

2013-03-21

Img2lcd 图片取模软件

图片取模 TFT LCD 取模 内有注册码,应用比较广泛的取模软件

2013-03-19

2011电子设计大赛题目集锦

电子设计大赛题目集锦 2011电子设计大赛题目,考题,只有一年的

2012-09-01

MC9S12XS128 Freescale 中文手册

MC9S12XS128 Freescale 中文手册

2012-09-01

altium Designer 破解文件

AD10 破解文件 altium Designer

2012-06-30

pl2303 win7 x86 x64 驱动

pl2303 win7 x86 x64 驱动 pl2303 win7 x86 x64 驱动

2012-05-13

PL2303中文资料

PL2303中文资料

2012-05-13

Altium Designer 实用学习教程

Altium Designer 实用学习教程

2012-03-15

protel 99se 快速入门

主要内容 电路原理图设计 产生网络表 印制电路板设计

2012-03-14

Altium Designer 6.0 实用学习教程

第1章 概述 电路设计自动化( Electronic Design Automation ) EDA 指的就是将电路设计中各种工作交由计算机来协助完成。如电路图( Schematic )的绘制,印刷电路板( PCB )文件的制作执行电路仿真( Simulation )等设计工作。随着电子工业的发展,大规模、超大规模集成电路的使用是电路板走线愈加精密和复杂。电子线路 CAD 软件产生了, Protel 是突出的代表,它操作简单、易学易用、功能强大。 1.1 Protel 的产生及发展 1985 年 诞生 dos 版 Protel 1991 年 Protel for Widows 1998 年 Protel98 这个 32 位产品是第一个包含 5 个核心模块的 EDA 工具 1999 年 Protel99 既有原理图的逻辑功能验证的混合信号仿真,又有了 PCB 信号完整性 分析的板级仿真,构成从电路设计到真实板分析的完整体系。 2000 年 Protel99se 性能进一步提高,可以对设计过程有更大控制力。 2002 年 Protel DXP 集成了更多工具,使用方便,功能更强大。 2003 年 Protel 2004对DXP进行完善。 2006 年 Altium Designer 6.0集成了更多工具,使用方便,功能更强大,特别在PCB设计这一块性能大大提高。 1.2 Altium Designer 6.0 主要特点 1 、通过设计档包的方式,将原理图编辑、电路仿真、 PCB 设计,FPGA设计及打印这些功能有机地结合在一起,提供了一个集成开发环境。 2 、提供了混合电路仿真功能,为设计实验原理图电路中某些功能模块的正确与否提供了方便。 3 、提供了丰富的原理图组件库和 PCB 封装库,并且为设计新的器件提供了封装向导程序,简化了封装设计过程。 4 、提供了层次原理图设计方法,支持“自上向下”的设计思想,使大型电路设计的工作组开发方式成为可能。 5 、提供了强大的查错功能。原理图中的 ERC (电气法则检查)工具和 PCB 的 DRC (设计规则检查)工具能帮助设计者更快地查出和改正错误。 6 、全面兼容 Protel 系列以前版本的设计文件,并提供了 OrCAD 格式文件的转换功能。 7 、提供了全新的 FPGA 设计的功能,这好似以前的版本所没有提供的功能。 1.3 PCB 板设计的工作流程 1 、方案分析 决定电路原理图如何设计,同时也影响到 PCB 板如何规划。 根据设计要求进行方案比较、选择,元器件的选择等,开发项目中最重要的环节。 2 、电路仿真 在设计电路原理图之前,有时候会对某一部分电路设计并不十分确定,因此需要通过电路仿真来验证。还可以用于确定电路中某些重要器件参数。 3 、设计原理图组件 Altium Designer 6.0 提供了丰富的原理图组件库,但不可能包括所有组件,必要时需动手设计原理图组件,建立自己的组件库。 4 、绘制原理图 找到所有需要的原理组件后,开始原理图绘制。根据电路复杂程度决定是否需要使用层次原理图。完成原理图后,用 ERC (电气法则检查)工具查错。找到出错原因并修改原理图电路,重新查错到没有原则性错误为止。 5 、设计组件封装 和原理图组件库一样, Altium Designer 6.0 也不可能提供所有组件的封装。需要时自行设计并建立新的组件封装库。 6 、设计 PCB 板

2012-03-14

turbo c/c++ 3.0

完美集成中文环境的精巧强大的C/C++语言编程学习工具! “Turbo C++ 3.0”软件是Borland公司在1992年推出的强大的——C语言程序设计与C++面向对象程序设计 的集成开发工具。它只需要修改一个设置选项,就能够在同一个IDE集成开发环境下设计和编译以标准 C 和 C++ 语法设计的程序文件。 Turbo C++ 3.0 与 Turbo C 2.0 的主要区别: 1、Turbo C++ 3.0不仅能设计和编译C程序文件,而且修正了Turbo C 2.0中存在的一些Bug

2011-08-07

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除