数字电子技术实验——实验准备、基本操作流程介绍

一、软件下载安装

        实验使用QuartusⅡ 18.1版本,下载安装可在网上寻找教程。

二、实验器材

        实验使用器件为EP4CE6E22C8 

        MFB-5 型数字电路自主实验器

        

电源线一条

下载线一条

三、基本操作流程

1、新建工程

主界面:File->New Project Wizard开始新建工程(必须要把程序放在工程里才能烧录到板子上)

直接Next

选择项目保存路径以及项目的名字(不要包含中文),然后Next

选Epmty project,然后Next

如果有写好的文件可以加入到该项目中,否则直接Next

选择EP4CE6E22C8,然后Next

直接Next

Finish完成工程创建

2、编写Verilog HDL代码

新建文件

File->New

选择Verilog HDL File

Ctrl S保存文件到该项目中

编写代码(此处随意写的)

3、编译——综合

点击红色圆圈内按钮开始编译(或者通过工具栏Processing->Start Compilation )

通过

4、仿真(Quartus-VWF仿真)

该仿真只能用于简单波形仿真,也可使用ModelSim进行仿真。(ModelSim仿真比较麻烦,此处暂时不介绍)

新建VWF向量波形文件File->New选择University Program VWF文件

插入测试结点

File->Insert->Insert Node

而后一直点击OK

保存VWF文件到项目中

设置输入波形A,开始仿真(工具栏Simulation->Run Functional Simulation)

输出结果

5、下载

1、驱动安装

USB Blaster是FPGA的编程器(又称“下载线”),用于将设计好的电路烧写进FPGA中,它需要安装专门的驱动程序才能使用。

1、将下载线连接到电脑

2、鼠标右击“计算机”或“此电脑”,选择“管理”以打开“设备管理器”。

在设备管理器中找到USB Blaster,由于没安装驱动, 它会带黄色的感叹号。

右键选择更新驱动程序。

3、选择->浏览我的电脑以查找驱动程序

 浏览找到并选择Quartus安装目录里的Driver文件夹,勾选包括子文件夹

如果驱动安装失败,可尝试进入Windows安全中心

关闭内存完成性

重新尝试安装驱动

2、引脚分配

Assignments->Pin Planner

按要求分配好引脚

退出引脚分配界面回到主界面再重新编译工程

3、进入Quartus将程序烧录进FPGA

Tool->Programmer->Hardware Setup选择USB->Blaster。而后点击Start开始烧录。

进行实际测试。

### 数字电子技术实验ICC作业引讲视频及攻略 对于数字电子技术实验中的ICC(集成电路计算机辅助设计)作业,准备和理解过程至关重要。虽然具体的引讲视频可能依赖于学校或教育平台提供的资源[^2],一般而言,完成这作业的有效方法包括以下几个方面: #### 1. 理解基础概念 确保对基本理论有深刻的理解,比如逻辑门的工作原理、组合逻辑与顺序逻辑的区别以及如何利用这些组件构建更复杂的电路结构。这有助于更好地理解和实现具体的设计目标。 #### 2. 使用仿真工具进行练习 通过使用如Multisim, Proteus 或者 Vivado等EDA(电子设计自动化)软件来进行模拟测试是非常重要的一步。可以先尝试简单的例子来熟悉环境,在此基础上逐步增加复杂度直到能够独立完成整个项目的要求。 #### 3. 阅读并分析给定资料 仔细阅读教师提供的指导文件和其他参考资料,了解所需达到的功能规格说明和技术指标。特别是要注意任何特殊要求或限制条件,例如输入/输出接口定义、工作电压范围等。 #### 4. 设计流程概述 - **需求分析**:明确要解决的问题是什么样的;确定系统的功能特性。 - **方案规划**:基于所学知识构思解决方案框架图;考虑采用何种型的触发器作为存储单元。 - **编码实现**:编写Verilog/VHDL代码描述硬件行为;注意语法正确性和模块化编程风格的应用。 - **综合验证**:运用FPGA开发板或其他手段检验实际效果是否符合预期;调整参数直至满意为止。 ```verilog // Verilog example of a simple counter module module simple_counter( input wire clk, input wire reset_n, output reg [7:0] count ); always @(posedge clk or negedge reset_n) begin if (!reset_n) count <= 8'b0; else count <= count + 1; end endmodule ``` #### 5. 寻找在线教程和支持社区 如果遇到困难,可以通过观看YouTube上的教学频道获取灵感,加入Reddit论坛讨论区寻求帮助,或是查阅Stack Overflow上已有的问答帖子找到相似案例的学习路径。
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值