PMF学习笔记

1.    pmf_gui可生成配置文件(.cfg文件),也可生成静态库、动态库(.a or .so);

2.    配置文件的使用:必须在主程序开始读入配置文件后进行初始化,如下所示:

int main()

{

    VOS_UINT32 uiRet=0;

    VOS_CHAR *cfgfile;

    cfgfile = "test.cfg";

    uiRet = VOS_CfgdbInitByFsldr(cfgfile);

    VOS_SysProcIdSet(25569);

    uiRet = VOS_Start();

    if (VOS_OK != uiRet)

    {

        printf("VOS_Start failed:%u\n", uiRet) ;

        return uiRet;

    }

    vos_printf("Hello world!\n");

return 0;

}

3.    scons可指定配置文件,否则使用./ configs/default.py

#显示指定工程编译环境定义文件

scons cfg=configs/myconf.py      # 指定configs/myconf.py 为工程编译环境定义文件

 

#使用默认工程编译环境定义文件

scons                            # 使用默认工程编译环境定义文件:configs/default.py

4.    scons清除示例:

#删除编译产生的所有文件

scons  distclean

                                    

#删除编译产生的文件,但不包括产生的包池

scons  clean

5.    prj.ABin 示例:

# Import prj variable

Import('prj')

 

# Build executable file

# ABin support the following key-value parameters

# pkgs      : package to test, ALL show all packages include current build

#             project and current package pools

# cfgfile   : config file to build executable file

# cfgarr    : the array name of embeded config file

# LIBS      : libraries needed

# LIBPATH   : libraries pathes

# CPPPATH   : head files pathes

# CPPDEFINES: definition macros

# LINKFLAGS : link flags

#

# For example:

prj.ABin("test",

         "main.c",

         pkgs = ["v_base", "v_cfgdb", "v_starter", "example"],

         LIBS = ["pthread", "rt"],

        )

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
PMF(Probability Mass Function)是指概率质量函数,用于描述离散随机变量的概率分布。而Verilog是一种硬件描述语言,用于描述电子系统的结构和行为。 在Verilog中,可以使用PMF来捕获随机事件的概率分布。具体而言,可以使用PMF来定义输入信号的概率,以模拟实际的随机事件。 在Verilog代码中,可以定义一个包含离散值和对应概率的数组,作为概率质量函数。这些离散值可以表示不同的输入模式,而对应的概率则表示这些输入模式发生的概率。通过使用适当的随机数发生器,可以使用这个PMF来模拟具有相应概率分布的随机输入。 例如,假设我们有一个包含4个离散值和对应概率的数组,表示一个4位二进制输入的PMF。我们可以定义一个包含四个元素的数组,每个元素分别表示一个二进制位上的概率。接着,我们可以使用适当的随机数发生器来根据这个PMF生成随机的输入信号。 通过将PMF与Verilog代码结合使用,我们可以模拟各种不同的随机事件,以评估设计在不确定性条件下的行为和性能。这在验证电子系统的正确性和可靠性方面特别有用,尤其在面对随机性很强的输入信号时。 总之,PMF可以用于捕获和描述Verilog中的随机事件的概率分布,以模拟实际的随机输入信号。这种结合使用可以在电子系统验证和性能评估中起到重要的作用。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值