自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(2)
  • 收藏
  • 关注

原创 VHDL程序的基本结构

 VHDL程序的基本结构例是计数器程序结构模板。由这个抽象的程序可以归纳出VHDL程序的基本结构。 【例】  计数器程序结构模板          LIBRARY IEEE;        USE ieee.std_logic_1164.all;            ENTITY entity_name IS                   PORT ( 

2009-10-19 21:41:00 1788

原创 FPGA学习

 FPGA学习包括:1。硬件电路设计,主要用protel或cadence,前者相对简单,是入门级的,朱要是些概念的理解,后者比较专业全面,但由于成本高,学习者都买开发板;2。软件设计,主要学习VHDL和verilogHDL两种语言,前者语法比较严格,但执行效率高,后者编程快,可读性好,他们的关系就像汇编和C一样,建议学Verilog。软件主要有atera公司的quartusII和Xilinx公司的

2009-10-19 21:38:00 292

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除