FPGA学习

 FPGA学习包括:
1。硬件电路设计,主要用protel或cadence,前者相对简单,是入门级的,朱要是些概念的理解,后者比较专业全面,但由于成本高,学习者都买开发板;
2。软件设计,主要学习VHDL和verilogHDL两种语言,前者语法比较严格,但执行效率高,后者编程快,可读性好,他们的关系就像汇编和C一样,建议学Verilog。软件主要有atera公司的quartusII和Xilinx公司的,芯片也是他们的,建议学quartusII
3。仿真与测试:这个环节很重要,主要用modelsim这个软件和quartusII配合使用
4。还有,最重要的,你的数字电路基础和C语言要扎实。
兴趣最重要

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值