--本程序用来测试STM32对CPLD的读写操作
--测试功能如下:
--向0x05地址写入0x01,LED灯停止闪烁,写入其他数据闪烁继续
--0x03,0x04寄存器为定值,可以通过STM32读取然后使用串口输出看看是否一致
--文件名:AD.vhd
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity AD is
port(
-- 系统信号线
CLK: in std_logic;
LED: out std_logic;
-- ARM相连的信号线
Adr_L: in std_logic_vector(7 downto 0); --A7...A0,只使用了低八位地址线
D: inout std_logic_vector(7 downto 0); --只使用了低八位数据线
FSMC_NE4: in std_logic;
FSMC_NOE: in std_logic;
FSMC_NWE: in std_logic
);
end AD;
architecture art of AD is
--设置存储数据的寄存器
signal AD0_H_data,AD0_L_data,AD1_H_data,AD1_L_data,LED_ctrl: std_logic_vector(7 downto 0);
--数据缓冲寄存器
signa