FPGA 实现SVPWM调制

本文介绍如何利用FPGA实现SVPWM调制,采用SPWM零序注入法来等效SVPWM,通过逻辑资源初始化简化设计,未展示正弦表内容,附带了仿真文件和仿真结果。
摘要由CSDN通过智能技术生成

FPGA 实现SVPWM调制

SVPWM调制方法使用 SPWM 零序注入法来实现 SPWM 等效SVPWM (使用最大最小法)

clear;
clc;
t=0:1e-4:20e-3;
va=sin(2*pi*50*t);
vb=sin(2*pi*50*t-2*pi/3);
vc=sin(2*pi*50*t-4*pi/3);

tpos=zeros(1,length(t));
tneg=zeros(1,length(t));

for i=1:length(t)
    tpos(i)=max([va(i),vb(i),vc(i)]);
    tneg(i)=min([va(i),vb(i),vc(i)]);
end

triplen=(tpos+tneg)*0.5;
va3 = va-triplen+1;
vb3 = vb-triplen+1;
vc3 = vc-triplen+1;

plot(t,va3,t,vb3,t,vc3,t,triplen)

为了简化设计直接使用逻辑资源初始化sin表 为简单化,这里不放正弦表

module svm(
	input 					sys_clk	,
	input					rst_n 	,
	
	output 	reg 	[7:0]	a		,
	output	reg  	[7:0]	b		,
	output 	reg  	[7:0]	c
);


parameter   SINN    = 	12'd1024;

reg [7:0]  max;
reg [7:0]  min;
reg [7:0] sin[1024:0];
reg [11:0] ai;
reg [11:0] bi;
reg [11:0] ci;


//最大值
always@(posedge sys_clk or
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值