自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(22)
  • 资源 (4)
  • 收藏
  • 关注

转载 EndNote 技巧

1,实现原有大量pdf文件向endnote中的导入: endnote可以通过其在线检索的功能直接检索web of scienece数据库内的文献并将其导入endnote内,所以您可以输入题名或者关键词重新检索您的文献,这样速度又快,导入的文献也比较规范。 目前没有简便的方

2011-10-06 04:12:44 1720

转载 latex空格

两个quad空格a \qquad b两个m的宽度quad空格a \quad b一个m的宽度大空格a\ b1/3m宽度中等空格a\;b2/7m宽度小空格a\,b1/6m宽度没有空格ab紧贴a\!b缩进1/6m宽度

2011-09-02 03:54:15 1090

原创 ISE warning 底层block被优化删除

GEZEL生成vhdl, 产生warning, 底层block被删除,只剩top-module输入输出pin工作。原因,topmodule只有CLK,RTS和一个LED,没有 pin 和底层的module接。由于topmodule的信号和底层module接产生问题。解决办法:增加

2011-07-21 03:08:35 1135

转载 防止ISE优化信号

综合是将我们的设计转化为FPGA可以读懂的配置文件的第一个步骤。本文努力从0基础开始向大家说明综合的基本知识和高级技巧。话说所有的功能都有它应用的环境。在了解某个按钮选项有某个功能的时候,我们更应该了解应该在什么时候什么情况使用它。所以我以这种问答的形式,向大家展示综合过程中可能

2011-07-20 12:13:11 6058

原创 svn export

$ svn checkout svn://example.net/newrepo .$ svn export svn://example.com/oldrepo/mydir ./mydir$ svn add ./mydir; svn commit

2011-07-08 05:58:30 598

转载 install modelsim in ubuntu

1、下载安装包:直接在modelsim的官网上下,这里给比较懒的同学给个地址,需要填写一些信息过后就能进FTp下载了。http://model.com/content/modelsim-se-high-performance-simulation-and-debug这是modelsim se的下载地址。建议不要下载最新版可能破解有问题,我下的是6.5b 对了还要提醒一下,linux需要下载

2011-06-24 05:06:00 2547 2

原创 reminder

28529

2011-06-23 10:20:00 315

原创 chipscope pro 11.5 for vhdl

http://elecrom.files.wordpress.com/2010/03/chipscope-pro-testing-hdl-design-on-fpga.pdf One thing is different: When selecting the source, it is said in the pdf file that the source is FDR whi

2011-06-22 03:03:00 633

原创 avrdude: stk500v2_command(): command failed

avrdude: stk500v2_command(): command failedavrdude: initialization failed, rc=-1 Double check connections and try again, or use -F to override this check.avrdude done. T

2011-06-21 00:31:00 1615

原创 make cc2420dbk in tinyOS

changed things: add "sudo" in                 avrispmkii.extra before                $(PROGRAMMER) $(PROGRAMMER_FLAGS) $(PROGRAMMER_INSTALL_SREC_FLAGS)  Command:  make cc2420dbk inst

2011-06-21 00:25:00 516

原创 modelsim open an existing project

To open up an existing project do one of the following: a. Start Modelsim, click on File‐>Open, in the Open File dialog box select Project Files (*.ini, *.mpf) in the Files of Type pull‐down, se

2011-06-10 09:32:00 921

转载 [转载]ModelSim,synplify,ISE后仿真流程

首先,我把我用到的软件说明一下。如果你发现根据我的操作,你还是解决不了ModelSim后仿真的问题,那就可能是软件版本的问题。1, ModelSim Se 6.1b2, Synplify Pro 7.5.13, ISE 5.2i  (这个是老了点)4, WindowsXP(这个应该没有多大的关系)   还有就是我使用的是verilog,我想VHDL的方法与verilog是差不多

2011-06-10 02:08:00 1259

转载 Modelsim 创建 VCD

<br /><br /> Verilog提供一系列系统任务用于记录信号值变化保存到标准的VCD(Value Change Dump)格式数据库中。大多数波形显示工具支持VCD格式。<br />$dumpfile("file. dump"); 打开一个VCD数据库用于记录<br />$dumpvars(); 选择要记录的信号<br />$dumpflush; 将VCD数据保存到磁盘 <br />$dumpoff; 停止记录<br />$dumpon; 重新开始记录<br />$dumplimit(); 限制V

2011-05-17 04:18:00 1305

转载 visual studio linker errors solution

<br />Explanation of the ILLUSION.int main(int argc, char *argv[]) You probably need to set Project->properties->linker->system->subsystem to CONSOLEIf you have an INCOMPATIBLE NON STANDARD C++ main; breaking all the standards in the book; such as

2011-04-27 09:45:00 476

转载 install apache2, php, mysql under ubuntu

<br />Install Apache<br />To start off we will install Apache.<br />1. Open up the Terminal (Applications > Accessories > Terminal).<br />2. Copy/Paste the following line of code into Terminal and then press enter:<br />sudo apt-get install apache2<br />3.

2011-04-20 06:49:00 581

转载 install mysql under ubuntu

<br /><br />MySQL is a fast, multi-threaded, multi-user, and robust SQL database server. It is intended for mission-critical, heavy-load production systems as well as for embedding into mass-deployed software.Installation<br />To install MySQL, run the fol

2011-04-20 06:20:00 346

原创 ISE for VHDL

<br />1. generate testbench<br /> <br /><br />Project --> New Source<br />Select VHDL TestBench<br />Associate it with your top level module<br /> <br /> 

2011-04-12 02:08:00 535

转载 linux定时运行命令

<br /><br />1.命令简介<br />     每个用户都有各自不同的计划任务列表,用各自的帐户登录后运行<br />     crontab -l<br />     就可查看到各任务的计划任务情况,使用下列命令可以修改自己的计划任务<br />     crontab -e<br />     每次添加完任务后,一定记得重新启动crond服务,不然不会生效<br />     service crond restart<br />2.实例<br />下面为一计划任务的样例<br />#每个月的

2011-04-04 03:35:00 464

转载 visual studio 调试

<br /><br />F9 设置断点F5 调试 (运行到断点所在位置,调试状态下,再按一次F5就会运行到下一个断点处)F10 单步调试F11 进入函数Ctrl+F10 运行到光标处SHIFT+F5退出调试<br />SHIFT+F11,跳出F11进入的函数块,即跳出{}<br /> <br /><br /><br />Using the Visual Studio 2008 Debugger<br />可以说,任何开发环境中最重要的工具都是调试器。Visual Studio的调试器非常强大

2011-03-21 12:19:00 915

转载 栈与堆的区别

<br />一、预备知识—程序的内存分配  <br />  一个由C/C++编译的程序占用的内存分为以下几个部分  <br />  1、栈区(stack)—   由编译器自动分配释放   ,存放函数的参数值,局部变量的值等。其  <br />  操作方式类似于数据结构中的栈。  <br />  2、堆区(heap)   —   一般由程序员分配释放,   若程序员不释放,程序结束时可能由OS回  <br />  收   。注意它与数据结构中的堆是两回事,分配方式倒是类似于链表,呵呵。  <br />  3、

2011-03-18 11:28:00 278

转载 visual studio 使用

<br />【创建项目并添加源文件】1 通过以下方式创建一个项目:指向“文件”菜单上的“新建”,然后单击“项目”。2 在“Visual C++”项目类型窗格中,单击“Win32”,然后单击“Win32 控制台应用程序”。3 键入项目名称。默认情况下,包含项目的解决方案与项目同名,但您可以键入其他名称。您也可以为项目键入其他位置。单击“确定”创建项目。4 在“Win32 应用程序向导”中,单击“下一步”,选择“空项目”,然后单击“完成”。5 如果未显示“解决

2011-03-17 11:06:00 597

转载 求二进制数中1的个数

一、问题描述:求一个N位整数x的二进制表示中1的个数,越快越好。实际上这个问题叫做Hamming weight[1],或者叫做population count以及pop count。看到Hamming大家基本上可以恍然大悟一下了,这玩意可以用来计算海明距离。另外在信息论、编码学等也有很多应用。对于这个问题的解法,Google一下popcount 或者count bits 1会有一大把。基本上无非是三大类,直观的挨个数O(N),分治法O(lgN),查表法O(1)。但实际上对于这种问题,单单考虑时间复杂度意义不

2011-03-17 09:36:00 718

Linux 入门--针对linux菜鸟的书籍

linux入门书籍,对了解Linux有很好的指导作用。本书是为广大Linux初学者制做的,在制做过程中考虑到内容的基础性,不让广大读者迷茫,所以本书选了一些比较经典而且一定要了解的知识介绍给大家。

2011-01-26

ATMEGA128 数据手册

8比特AVR微处理器ATMEGA128 数据手册(全)

2009-12-04

cc2420 数据手册

CC2420 RF 收发芯片 数据手册 1.4版

2009-12-04

SPI C代码 MAX7456 On-Screen Display

C-Code Software Routines for Using the SPI Interface on the MAX7456 On-Screen Display

2009-12-03

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除