自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(17)
  • 资源 (33)
  • 收藏
  • 关注

转载 C++堆、栈、自由存储区、全局/静态存储区和常量存储区

原文地址:http://www.cnitblog.com/guopingleee/archive/2011/10/07/54599.html一个由C/C++编译的程序占用的内存分为以下几个部分 1、栈区(stack)—— 由编译器自动分配释放,存放函数的参数值,局部变量的值等。其操作方式类似于数据结构中的栈。 2、堆区(heap)—— 一般由程序员分配释放,若程序员不释放,程序结束时可

2012-11-30 22:51:21 2150

原创 HEVC学习(十) —— 与变换有关的几个主要函数及重要变量

本文主要是列出几个与变换有关的函数,以及涉及到的比较重要的变量,但不会去深入讨论函数的实现,日后有机会的话会更详细地讨论这几个函数,这里仅是列举出来,起到备忘的作用,也能供大家参考。 如何定位到这几个函数的,方法与前面的一篇博客HEVC学习(八) —— 以SAO为例浅析跟踪代码方法类似,有兴趣的朋友可以去看看,这里就不重复这个过程了。 在xCompressCU函数中,有这么几个函数

2012-11-30 19:25:28 9087 11

原创 HEVC学习(九) —— 帧内预测系列之六

上次留下两个帧内预测中最为重要的两个函数xPredIntraPlanar和xPredIntraAng,本文先介绍第一个函数。先看代码及相应的注释:/** Function for deriving planar intra prediction. * \param pSrc pointer to reconstructed sample array * \param srcStride

2012-11-30 10:57:41 10887 19

原创 HEVC学习(八) —— 以SAO为例浅析跟踪代码方法

HM的代码跟踪其实在我转载的一篇博客HEVC/H.265参考代码跟踪里就已经有很不错的介绍了,因此,我就不重复里面所说的了,而是对里面一些我觉得需要稍微补充下并且以另一个具体实例SAO即Sample Adaptive Offset的跟踪过程进行说明。由于只是一个跟踪说明,代码的具体细节就不去探究了,其实这也是一个方法,有些时候,你仅仅需要知道实现某个功能的代码在哪,而不需要知道它的实现细节,那

2012-11-24 15:49:02 14246 5

转载 HEVC/H.265参考代码跟踪

这篇博客写得不错,觉得对我对大家刚开始学习时会有帮助,于是转载之。原文地址:http://blog.csdn.net/feixiang_john/article/details/7876227#comments 1.编码器程序从"TAppEncoder"工程中的encmain.cpp文件开始的,此文件中包含程序运行的入口函数"main",在main函数中主要做了编码器对象的创建、分析配置文

2012-11-23 12:51:33 11987 9

原创 HEVC学习(七) —— 帧内预测系列之五

由于最近比较忙,所以博客的进度慢了,这几天争取把帧内系列完结了,而且,会渐渐随着对HM的理解的深入,把一些细节问题逐渐地给解释清楚了,前面有些地方仅仅只是泛泛介绍,还没真正地把所有地方都讲通。好了,废话少说,进入今天的正题,今天主要介绍实现帧内预测的最为重要的函数之一predIntraLumaAng。先贴代码和相关注释: Void TComPrediction::predIntraLuma

2012-11-23 12:35:26 11087 17

转载 POSIX thread

原文地址:http://wenku.baidu.com/view/2a8ebf896529647d2728528d.htmlPOSIX 线程详解 1POSIX(可移植操作系统接口)线程是提高代码响应和性能的有力手段。在本系列中,Daniel Robbins向您精确地展示在编程中如何使用线程。其中还涉及大量幕后细节,读完本系列文章,您完全可以运用 POSIX 线程创建多线程程序。

2012-11-20 19:37:05 3150

转载 新手配置vim

原文地址:http://blog.sina.com.cn/s/blog_5ca785c30100dk6x.htmlVim很好很完美是公认的了,但是对新手来说,上手毕竟不是很容易。Windows下程序员很多都很喜欢Source Insight这个工具来看代码,各种语法高亮看着很舒服。vim作为为程序员打造的编辑器,没有道理不可以通过配置让程序员舒服地编辑代码,但是新手通常很难将其配置成顺手顺眼的

2012-11-20 18:58:19 3626 2

原创 HEVC学习(六) —— 帧内预测系列之四

本文主要把实现亮度分量帧内预测的主函数的大体框架通过代码注释的方式介绍一下。 Void TEncSearch::estIntraPredQT( TComDataCU* pcCU, TComYuv* pcOrgYuv, TComYuv* pcPredYuv,

2012-11-19 18:55:37 20543 83

转载 宏定义中的#,##

(原文地址:http://blog.csdn.net/jiangjingui2011/article/details/6706967)1、在一个预处理器宏中的参数前面使用一个#,预处理器会把这个参数转换为一个字符数组。(原文:When you put a # before an argument in a preprocessormacro, the preprocessor turn

2012-11-18 16:58:52 4625

原创 HEVC测试序列下载地址

应该有不少人跟我一样,在刚开始时不知道到哪去下载HEVC的标准测试序列,之前曾经在百度检索过,查找到如下网址:ftp://ftp.tnt.uni-hannover.de/testsequences/账号为hvc,密码为US88Hula。 当时的这个网址的确是可以打开的,但是最近一阵子网页是打不开的,之后有人提醒我,网址现在为:ftp://hevc@ftp.tnt.uni-han

2012-11-16 18:28:41 21882 36

转载 HEVC参考软件代码总结

1.编码器程序从"TAppEncoder"工程中的encmain.cpp文件开始的,此文件中包含程序运行的入口函数"main",在main函数中主要做了编码器对象的创建、分析配置文件,初始化配置参数,和编码器最重要的功能"encode"。2.在"encode"函数中,主要实现了读取YUV文件的数据、初始化工具对象例如:GOPEncoder、SliceEncoder、CUEncder……。在此函

2012-11-14 21:25:35 13003 3

原创 HEVC学习(五) —— 帧内预测系列之三

今天主要介绍帧内预测一个很重要的函数initAdiPattern,它的主要功能有三个,(1)检测当前PU的相邻样点包括左上、上、右上、左、左下邻域样点值的可用性,或者说检查这些点是否存在;(2)参考样点的替换过程,主要实现的是JCTVC-J1003即draft 8.4.4.2.2的内容,主要由函数fillReferenceSamples来完成,这个在之前的文章已经讨论过了;(3)相邻样点即参考样点

2012-11-14 20:57:04 20771 44

原创 HEVC学习(四) —— 帧内预测系列之二

为了更好地理解帧内预测中的各个函数的原理过程,有必要对CU、PU地址计算方法有着较好的了解,因此,本文将对这个问题先做个讨论。 对视频编解码有一定了解的人应该会知道,有一种扫描顺序叫光栅扫描,即从左往右,由上往下,先扫描完一行,再移至下一行起始位置继续扫描。H.264使用的主要就是光栅扫描顺序,(当然它还有其它扫描顺序,被包含在FMO即灵活宏块顺序技术里)。 HEVC里同样也有光栅

2012-11-14 17:05:26 23880 27

原创 HEVC学习(三) —— 帧内预测系列之一

今天开始进入实质性内容的讨论,主要是从代码实现的角度比较深入地研究帧内预测算法。由于帧内预测涉及到的函数的数量相对于编解码器复杂部分来说少,但事实上大大小小也牵涉到了十几二十个函数(没具体统计过,只是大概估算了下),想要一下子讨论完比较困难,所以打算在接下来的若干篇文章里逐步地尽可能详尽地分析每一个较为重要的函数。今天所要讨论的是fillReferenceSamples这个函数,它主要功能是在真正

2012-11-12 20:01:06 32918 53

原创 HEVC学习(二) —— HM的整体结构及一些基本概念

在刚开始看HM的时候,对着7个工程,可能有人会感到困惑,该从哪里看起呢?当然了,对于已经有一定代码量积累的人或者之前研究过H.264代码如JM的人来说,从何入手应该不成问题。但我写这篇出来,一方面是自己做个总结,备忘,另一方面也是希望能够帮助刚刚入手HM的朋友。好了,不多废话,还是进入正题吧。 对于一个完整的HM解决方案来说,总共包含了7个工程:1. TAppCommon 2. TAppD

2012-11-11 11:27:41 34158 42

原创 HEVC学习(一) —— HM的使用

从今天开始,会陆续发表HEVC学习过程中的一些总结,主要目的是与大家一起交流学习,由于水平有限,恳请大家能够不吝赐教。 由于这是第一次写博客,而且学习也是个循序渐进的过程,第一篇文章就简单介绍下如何使用HEVC的官方标准测试模型HM吧。其实如果之前学习过H.264的话,肯定也是用过JM的,HM的使用方法与JM基本上是相同的,只是配置文件的内容有所不同罢了。好了,不多说,马上进入正题。

2012-11-10 15:45:08 75083 118

华为机试题

华为的机试题目,c语言风格,仅供参考,不保证完整性和正确性。

2013-09-23

视频编码技术研究

本资源是有关视频编码的毕业论文和ppt,可供下载下来进行参考

2013-07-15

HEVC参考软件最新版11.0

HEVC官方参考软件最新版HM11.0,可供学习H.265标准参考

2013-07-07

ffmpeg可执行文件exe

这个不是ffmpeg的源码,只是可以在windows下使用的一个可执行文件exe,把它当成一个工具来用,主要目的是帮助那些只是临时需要使用ffmpeg而不愿意费力自己编译它的朋友

2013-04-09

HEVC最新参考模型HM10.0

这个是截至目前为止最新的HEVC官方参考软件HM10.0,相比于HM9.1,又做了一些改动。

2013-03-28

VisualSVN-3.0.4

这个是可在visual studio中使用的一个插件,安装它之后,可在vs开发环境下,直接对工程进行管理

2013-01-12

TortoiseSVN

针对windows 32位的SVN客户端

2013-01-12

HEVC参考测试模型 HM9.1

这个是目前HEVC官方参考软件即HM的最新版9.1,可供大家下载下来参考学习。

2013-01-03

BD-rate的Excel计算法

该文件提供了使用Excel进行BD-rate的计算方法及示例,可以供大家参考学习

2012-12-25

BD-rate相关提案VCEG-M33.doc

这个是在视频编码中评价算法性能的重要参数之一BD-rate的提案,相信能够帮助大家理解这个参数的由来和计算方法

2012-12-25

H264visa 分析器

学习H.264的人必备软件之一,是功能强大的264码流文件分析工具,值得大家下载

2012-12-15

yuvPlayer 播放器

这个是yuv序列的播放器,操作简单,非常适合大家下载下来学习研究

2012-12-15

程序员面试宝典

相信这是本大家都非常熟悉的电子书了,特别是对于想找工作的程序员来说

2012-12-10

h.264和mpeg-4视频压缩--欧阳合译.pdf

这个是一本学习H.264的经典书籍之一,值得大家下载下来学习

2012-12-10

最新版的x264(VS工程)

目前,x264已经停止了在windows下对vs编译器的支持,本资源是在VS环境下对最新版(2012.10.12)x264进行编译,经测试,能够正常编码,可供大家下载下来进行参考

2012-12-06

计算机网络第五版

这是谢希仁编著的《计算机网络》(第五版)的电子书,是我们学习计算机网络的优质参考书籍之一,值得下载。

2012-12-06

数据结构c语言版

这是一本严蔚敏主编的数据结构参考书的电子版,值得大家下载下来学习

2012-12-03

JM软件配置文件的参数说明

这个是H.264官方参考软件JM的参考手册,对配置文件的参数都有详细的解释,是学习JM使用的必备手册。

2012-12-01

H.264官方标准英文版

这个是学习H.264的必备资料之一,为H.264官方标准的英文版,原汁原味,值得下载学习。

2012-12-01

H.264官方参考软件 JM 18.4

这是目前最新版本的H.264官方参考软件,供大家参考学习

2012-11-30

news_qcif.yuv

在学习H.264的过程中,有个环节自然是对YUV文件进行编码,这里给大家提供了标准的YUV测试序列,qcif格式,省去大家查找的麻烦,直接下载即可使用

2012-11-28

ice_qcif.yuv

在学习H.264的过程中,有个环节自然是对YUV文件进行编码,这里给大家提供了标准的YUV测试序列,qcif格式,省去大家查找的麻烦,直接下载即可使用

2012-11-28

waterfall_cif.yuv

在学习H.264的过程中,有个环节自然是对YUV文件进行编码,这里给大家提供了标准的YUV测试序列,cif格式,省去大家查找的麻烦,直接下载即可使用

2012-11-28

foreman_qcif.yuv

在学习H.264的过程中,有个环节自然是对YUV文件进行编码,这里给大家提供了标准的YUV测试序列,qcif格式,省去大家查找的麻烦,直接下载即可使用

2012-11-28

HEVC帧内预测的几个重要提案

搜集了HEVC关于帧内预测的几个重要提案,相信对大家在刚开始看帧内预测时会有帮助

2012-11-23

HEVC reference software manual (JCTVC-F634)

这是目前最新的HEVC官方参考软件手册,是针对HM参考测试模型的文档,主要包含了HM软件的获取、编译、配置参数解释以及软件的使用等方面的内容,是HEVC学习不可或缺的文档之一!

2012-11-13

HEVC测试模型HM8.0

这是HEVC官方参考测试模型的源代码,为HM8.0,供有兴趣的朋友下载下来参考学习

2012-11-13

HEVC测试模型HM9.0

这是目前最新的HEVC官方参考测试模型,供有兴趣的朋友下载下来参考

2012-11-13

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除