Verilog 一秒计数器

计数器作为verilog语言时序逻辑接触到的最简单的时序逻辑程序,在学习时序逻辑的时候有是很好的入手程序

计数器的逻辑并不复杂,废话不多说直接上代码,该代码是基于50Mhz的1S来写的,晶振的频率不同,程序需要微调。

module  counter(

      input           clk   ,
      input           rst_n ,
      output    [13:0] sum


);

parameter TIME_1S =50_000_000 ;
reg    [13:0]   count;

always @(posedge clk or negedge rst_n) begin

        if(~rst_n) begin
             count <= 'd0;
        end
        else if(count == TIME_1S) begin
                
                count <= 'd0;
                     
        end

        else begin

            count <= count + 1'd1;

        end



    
end

assign sum = count;

endmodule

测试文件:

`timescale 1ns/1ns

module counter_tb();

reg     clk_tb       ;
reg     rst_n_tb     ;
wire    [13:0] sum_tb;

//parameter CLOCK_CYCLE = 20;//时钟周期参数定义

initial begin

     clk_tb <= 0;
        forever begin
          #5 clk_tb <= !clk_tb;
        end
    #500 $finish;
    end
    
    initial begin 
        #10 rst_n_tb <= 0;
        repeat(10) @(posedge clk_tb);
        rst_n_tb <= 1;
end


counter u_counter(

             .clk(clk_tb)   ,
             .rst_n(rst_n_tb) ,
             .sum(sum_tb)
);


endmodule
  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值