软件测试覆盖率详解

本文详细介绍了软件测试覆盖率的定义、计算方法和各类覆盖类型(如语句、判定、条件、路径、函数接口和需求覆盖),强调了逻辑覆盖率的重要性以及测试用例设计的平衡。此外,还提到了一个Python自动化测试速成课程资源链接。
摘要由CSDN通过智能技术生成

🍅 视频学习:文末有免费的配套视频可观看

🍅 点击文末小卡片,免费获取软件测试全套资料,资料在手,涨薪更快

软件测试覆盖率简介

1、定义:覆盖率是用来度量测试完整性的一个手段,同时也是测试技术有效性的一个度量。
2、计算:覆盖率=(至少被执行一次的item数)/item的总数
3、特点

1)通过覆盖率数据,可以检测我们的测试是否充分

2)分析出测试的弱点在哪方面

3)指导我们设计能够增加覆盖率的测试用例,有效提高测试质量,但是测试用例设计不能一味追求覆盖率,因为测试成本随覆盖率的增加而增加。

软件测试覆盖率分类

覆盖率按照测试方法大体上可以划分为三大类,即白盒覆盖(white-Box Coverage)、灰盒覆盖(Gray-Box coverage)和黑盒覆盖(Black-Box Coverage)。

白盒覆盖率(white-Box Coverage)

白盒覆盖率中使用的最常见的就是逻辑覆盖率(Logical Coverage ),也叫代码覆盖率(Code Coverage)或者结构化覆盖率(Structural Coverage),我们常见的逻辑覆盖包括:语句覆盖、判定覆盖、条件覆盖、判定条件覆盖、条件组合覆盖、路径覆盖。

1、语句覆盖(Statement Coverage)

1)定义:在测试时,运行被测程序后,程序中被执行的可执行语句的比率。

2)计算公式:语句覆盖率=(至少被执行一次的语句数量)/(可执行的语句总数)

3)100%语句覆盖率含义:在测试时,首先设计若干个测试用例,然后运行被测程序,使程序中的每个可执行语句至少执行一次。

4)特点:语句覆盖可以检验每个可执行语句,但是即使语句覆盖率达到了100%,也会有缺陷发现不了,所以覆盖率只是我们度量的手段。

2、判定覆盖(Decision Coverage)/分支覆盖率(Branch Coverage)

1)定义:在测试时,运行被测程序后,程序中所有判断语句的取真分支和取假分支被执行到的比率。

2)计算公式:判定覆盖率=(判定结果被评价的次数)/(判定结果的总数)

3)100%条件覆盖率含义:在测试时,首先设计若干个测试用例,然后运行测试程序,使得程序中每个判断的取真分支和取假分支至少经历一次,即判断的真假值均曾被满足。

4)特点

(1)若判定覆盖达到100%,则语句覆盖必为100%。

(2)即使判定覆盖率达到了100%,也会有缺陷发现不了。

3、条件覆盖(Condition Coverage)

1)定义:在测试时,运行被测程序后,程序中所有判断语句中每个条件的可能取值(真值和假值)出现过的比率。

2)计算公式:条件覆盖率=(条件操作数值至少被评价一次的数量)/(条件操作数值的总数)

3)100%条件覆盖率含义:在测试时,首先设计若干个测试用例,然后运行被测试程序,要使每个判断中每个条件的可能取值至少满足一次。

4)特点:覆盖条件的测试用例不一定覆盖判定。

4、判定-条件覆盖(Decision Condition Coverage)/分支条件覆盖(Branch Condition Coverage)

1)定义:在测试时,运行被测程序后,程序中所有判断语句中每个条件的可能取值(真值和假值)和每个判断本身的判定结果(为真为假)出现的比率。

2)计算公式:判定-条件覆盖率=(条件操作数值或判定结果至少被评价一次的数量)/(条件操作数值的总数+判定结果的总数)

3)100%判定-条件覆盖率含义:设计足够的测试用例,使得判断中每个条件的所有可能取值至少执行一次,同时每个判断本身的所有可能结果至少执行一次。换言之,即是要求各个判断的所有的可能的取值组合至少执行一次。

4)特点

(1)判定-条件覆盖率实际上就是判定覆盖率和条件覆盖率的组合。

(2)采用判定-条件覆盖,逻辑表达式中的错误不一定能够查得出来。

5、条件组合覆盖(Condition combination coverage)

1)定义:在测试时,运行被测程序后,所有语句中原子条件所有的可能的取值结果组合出现过的比率。

2)计算公式:条件组合覆盖率=(至少被执行一次的条件组合)/(总的可能的条件组合数)

3)100%条件组合覆盖率含义:设计足够的测试用例,使得判断中条件的各种可能组合至少出现过一次。

4)特点:若条件组合覆盖率为100%,则语句覆盖率、判定覆盖率、条件覆盖率和判定-条件覆盖率必为100%。

6、路径覆盖(Path Coverage)

1)定义:在测试时,运行被测程序后,程序中所有可能的路径被执行的比率。

 2)计算公式:路径覆盖率=(至少被执行一次的路径数)/(总的路径数)

 3)100%路径覆盖率含义:设计足够的测试用例,要求覆盖程序中所有可能的路径。

4)特点

(1)路径覆盖比判定条件覆盖更强,但是不能包含判定条件覆盖。

(2)若路径覆盖率为100%,则语句覆盖率、判定覆盖率必为100%。

小结:逻辑覆盖率可以作为软件测试的一个度量,但是,即使达到了100%的逻辑覆盖率,仍然无法保证程序的正确性。

灰盒覆盖率(Gray-Box Coverage)

函数覆盖和接口覆盖可以归为灰盒测试的范畴。

1、函数覆盖

1)定义:它表示在测试中,有哪些函数被测试到了,其被测试到的频率有多大,这些函数在系统所有函数中占的比例有多大。

2)计算公式:函数覆盖=(至少被执行一次的函数数量)/(系统中函数的总数)

3)特点:是针对一个系统或者子系统测试的。

2、接口覆盖(Interface Coverage)/入口点覆盖(Entry-Point Coverage)

1)定义:要求通过设计一定的用例使得系统的每个接口被测试到。

2)计算公式:接口覆盖=(至少被执行一次的接口数量)/(系统中接口的总数)         

黑盒覆盖率(Black-Box Coverage)

在实际测试中,与黑盒相关的覆盖率比较少,主要是功能覆盖率(Function Coverage),其中最常见的是需求覆盖。

需求覆盖

1)定义:它表示在测试中,有哪些函数被测试到了,其被测试到的频率有多大,这些函数在系统所有函数中占的比例有多大通过设计一定的测试用例,要求每个需求点都被测试到。

2)计算公式:需求覆盖=(被验证到的需求数量)/(总的需求总数)

同时,在这我为大家准备了一份软件测试视频教程(含面试、接口、自动化、性能测试等),就在下方,需要的可以直接去观看,也可以直接【点击文末小卡片免费领取资料文档】

7天Python自动化测试速成课,小白也能快速上手(项目实战)

  • 18
    点赞
  • 26
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
ModelSim 是一款常用的模拟器,支持代码覆盖率的统计和分析,可以帮助我们评估测试覆盖率,发现测试中存在的问题,提高测试的质量。下面是关于 ModelSim 中代码覆盖率使用的详解。 1. 代码覆盖率简介 代码覆盖率是指测试中所涉及到的代码行数、语句、分支、条件等被测试覆盖到的比率。代码覆盖率分析是软件测试中的一项重要工作,可以帮助我们评估测试覆盖率,发现测试中存在的问题,提高测试的质量。 2. ModelSim 中代码覆盖率的使用 在 ModelSim 中,支持使用 Coverage Database (CovDB)来记录代码覆盖率信息。CovDB 是一种二进制文件格式,用于记录仿真过程中的代码覆盖率数据。ModelSim 在仿真过程中会自动收集代码覆盖率数据,并将其记录到 CovDB 文件中。 使用 ModelSim 中的代码覆盖率需要以下几个步骤: (1)在仿真前设置代码覆盖率 在 ModelSim 中进行仿真前,需要先设置代码覆盖率的选项。在 ModelSim 中可以使用命令行或者 GUI 进行设置。命令行方式如下: > vsim -coverage <module_name> 其中,<module_name> 是需要仿真的模块名称。使用 GUI 设置代码覆盖率的选项需要在仿真设置中进行设置。 (2)运行仿真 在设置好代码覆盖率选项后,可以运行仿真。在仿真过程中,ModelSim 会自动收集代码覆盖率数据,并将其记录到 CovDB 文件中。 (3)生成代码覆盖率报告 在仿真完成后,可以使用 ModelSim 中的 Coverage Viewer 工具生成代码覆盖率报告。Coverage Viewer 工具可以将 CovDB 文件中的代码覆盖率数据可视化展示出来,方便用户进行分析和评估。生成代码覆盖率报告的步骤如下: ① 打开 Coverage Viewer 工具 在 ModelSim 中,可以使用以下命令打开 Coverage Viewer 工具: > vsim -coverageviewer 或者可以在 GUI 中点击工具栏中的 Coverage Viewer 工具按钮。 ② 导入 CovDB 文件 在 Coverage Viewer 工具中,可以使用 File -> Open CovDB File 命令导入 CovDB 文件。 ③ 生成代码覆盖率报告 导入 CovDB 文件后,可以使用 Coverage Viewer 工具中的 Report Generator 功能生成代码覆盖率报告。根据需要选择需要生成的报告类型,例如行覆盖率、语句覆盖率、分支覆盖率等。 3. 总结 在 ModelSim 中使用代码覆盖率可以帮助我们评估测试覆盖率,发现测试中存在的问题,提高测试的质量。使用 ModelSim 中的代码覆盖率需要在仿真前设置代码覆盖率选项,运行仿真,生成代码覆盖率报告。Coverage Viewer 工具可以将 CovDB 文件中的代码覆盖率数据可视化展示出来,方便用户进行分析和评估。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值