自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(9)
  • 资源 (17)
  • 收藏
  • 关注

转载 进行vivado开发时,Generate Bitstream报错[DRC NSTD-1],详细解决步骤

报错如下[Drc 23-20] Rule violation (NSTD-1) Unspecified I/O Standard - 4 out of 142 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power

2021-11-23 17:02:37 3489

原创 FPGA上电程序

对于FPGA芯片而言,上电之后最开始的一段时间是特别不稳定的,所以在FPGA程序中添加一个上电之后的延时程序,这个是很有必要的。然而我们常用的是使用PLL中的 locked 信号,来判断是否初始化成功,但是这个初始化仅仅是对于PLL这个IP核所使用的,所以这个信号还是不够具有权威性。根据上述问题,相对较好的方法是:在程序开始跑之前,就对程序进行延时操作。首先先来一个PLL的顶层模块:其中包括了两个部分:PLL_IP核的初始化,初始化之后的延时。module PLL( input

2021-02-19 10:46:43 647

转载 Quartus II 软件生成FFT、NCO、FIR等IP核时卡住不动的解决办法

据网友表示,遇到这个问题时,在任务管理器中手动关闭quartus_map进程就可以了,由于我的电脑最近一直没有出问题,因此也无法验证。欢迎大家针对这个问题讨论,提出肯定、否定的说法。另外,很多人表示找不到quartus_map进程,quartus_map进程在java主进程下面。如下图所示...

2021-01-30 12:01:58 1269

转载 Quartus Prime 17.0及以上版本编译之后无法打开PLL MegaWizard的解决办法

1.出现的问题 在Quartus Prime 17.0及以上版本中,使用PLL并且编译之后无法再次打开PLL MegaWizard进行PLL参数的修改。 IP Component中能看到PLL,但是打开就是PLL.v的源文件,只能修改一下频率。没法再打开GUI修改界面。2.解决方法 其实官方论坛有:https://forums.intel.com/s/question/0D50P00003yyTmTSAU/cannot-edit-the-genera...

2021-01-29 15:52:34 1248 2

原创 SPI四种模式

经常忘记SPI4种工作模式,学了忘了,现在记下方便以后查阅。在芯片资料上极性和相位一般表示为CPOL(Clock POLarity)和CPHA(Clock PHAse), 极性和相位组合成4种工作模式。  CPOL CPHA MODE0 0 0 MODE1 0 1 MODE2 1 0 MODE3 1 1 CPOL: SPI空闲时的时钟信号电平(1:高电平, 0:低电平)CPHA: SPI在时钟第几个边沿采样(1:第二个边

2020-11-20 09:48:31 3994

转载 ST-Link SWD接口接线

1、实验目的:实现通过st-link调试stm32芯片2、实验环境:stm32开发板留有20pin的调试接口,可接jlink和stlink 调试工具使用st-link v23、接线方式SWD接口需要连接四根线:VCC GND SWDIO SWCLK 20pin调试接口对应的pin脚关系: Pin 1 – VCC: This is the traget board Vcc. It is used by the STLINK/V2 Pin 7 – TMS/SWD

2020-11-04 17:59:45 4115

原创 STM32的JTAG变为普通引脚

因为STM32F10x系列的MCU复位后,PA13/14/15 & PB3/4默认配置为JTAG功能1、禁用PB4复用功能GPIO_PinRemapConfig(GPIO_Remap_SWJ_Disable, ENABLE);或者GPIO_PinRemapConfig(GPIO_Remap_SWJ_JTAGDisable , ENABLE); 用这两天其中一条,完全禁用JTAG+SW-DP或者屏蔽JTAG-DP,用来释放各个io。注意:还是用以下这条来释放PB4吧,因...

2020-10-26 10:28:19 397

原创 ZigBee和WiFi的区别

1、简介  在蓝牙技术的使用过程中,人们发现蓝牙技术尽管有许多优点,但仍存在许多缺陷。对工业,家庭自动化控制和遥测遥控领域而言,蓝牙技术显得太复杂,功耗大,距离近,组网规模太小等,……而工业自动化对无线通信的需求越来越强烈。正因此,经过人们长期努力,Zigbee协议在2003年中通过后,于2004正式问世了。     ZigBee技术是一种应用于短距离范围内,低传输数据速率下的各种电子设备

2018-02-06 13:19:44 57957

转载 HX711称重传感器

HX711称重传感器专用模拟/数字(A/D)转换器芯片简介:HX711是一款专为高精度称重传感器而设计的24位A/D转换器芯片。与同类型其它芯片相比,该芯片集成了包括稳压电源、片内时钟振荡器等其它同类型芯片所需要的外围电路,具有集成度高、响应速度快、抗干扰性强等优点。降低了电子秤的整机成本,提高了整机的性能和可靠性。该芯片与后端MCU芯片的接口和编程非常简单,所有控制信号由管脚驱动,无

2017-12-18 13:54:40 46688 3

FPGA串口回读.zip

发送8bit查询指令,回传8bit或16bit回读数据(两个工程)

2021-08-23

IIC及24CXX验证

IIC及24CXX验证(学习网站): (1)写:器件地址8bit+寄存器地址8bit+写入数据8bit (2)写:器件地址16bit+寄存器地址16bit+写入数据16bit (3)读:器件地址8bit+寄存器地址8bit

2021-07-22

原子TCP Server

使用正点原子,套用野火的W5500教程,程序用有数据提取和处理。引脚使用的是自绘PCB,但是引脚已经标注。

2021-07-20

STM32F103定时器1-5实验

STM32F103定时器1-5实验

2021-07-16

DP接口及传输线理解.pdf

DP接口及传输线理解.pdf

2021-01-06

接收脉冲执行一次相同操作

这个例子是,接收到按键给的一个小脉冲,当接收到这脉冲的时候,计数器加到10000(0.2S的时间计数)停止这个操作

2020-12-05

FPGA_TTL.7z

FPGA通过串口接收数据(9600,2,8,无),并对数据进行判断和处理,为了方便接收命令的时候做更好的处理。初学者可以做参考!

2020-11-30

四线SPI通信(模式3)

本工程作用是:使用四线SPI通信(模式3),单纯的向从机发指令,发送多组指令。但是指令格式要求可以不固定。本程序已经用逻辑分析仪验证!

2020-11-20

四线SPI下固定长度code

本工程作用是:使用四线SPI通信(模式3),单纯的向从机发指令,发送多组指令。但是指令格式要求一样,本工程每组指令包含4字节(32bit)指令。

2020-11-20

key_led_ModelSim.zip

key_LED,用按键控制LED灯,主要是通过ModelSim仿真按键功能,对于初学者,可以做一下参考!

2020-10-16

key_LED.zip

key_LED,用按键控制LED灯,主要是通过SignolTap仿真按键功能,对于初学者,可以做一下参考!

2020-10-16

fat32格式分析总结

fat32格式分析,内部有配合的学习网站(包含文件系统分析) fat_paper以及翻译文档(FAT32_manual_cn)

2020-09-28

SDIO通信(3.0和4.0).zip

SDIO Specification4.0 SDIO Simplified Specification

2020-09-28

HLK-RM04串口转WIFI指导_客户端模式.zip

配置HLK-RM04,三种模式之一的:串口转WIFI指导_客户端模式,内含有相关的软件

2019-06-10

makerbot打印机说明书

makerbot打印机说明书,一些基本的操作和介绍,给新手做参考。

2019-03-10

3D打印机使用文档

3D打印机的入门,及其各种基本操作,上面还有写遇到的小问题,及其解决办法

2019-03-10

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除