iverilog 提示 Unknown module type 解决办法

iverilog 提示 Unknown module type 的解决办法就是:include被实例化的文件名。

例如设计模块是pred_core.v,仿真模块是pred_core_test.v,仿真模块实例化了pred_core模块,但是还是会报错:

PS C:\Users\vid\Documents\Working\Verilog> iverilog pred_core_test.v
pred_core_test.v:16: error: Unknown module type: pred_core
2 error(s) during elaboration.
*** These modules were missing:
        pred_core referenced 1 times.
***

如果是在VS Code中使用iverilog作为语法提示的话,会有这个红色提示:

在这里插入图片描述

解决办法就是仿真文件中,模块定义前加入include

`include "pred_core.v"

参考:

iverilog linting · Issue #51 · mshr-h/vscode-verilog-hdl-support

在上面这个链接的讨论中好像还提示了别的办法,没研究。他也说加include对大型工程可能有点麻烦。

  • 7
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 4
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值