linux下开发verilog环境,iverilog_module

linux下使用iverilog仿真verilog易用版本

该项目是提供一个在linux使用iverilog+gtkwave的项目模板,用于快速新建项目和仿真项目。

没使用过的iverilog的请点击此处

优势

虽然iverilog只能仿真,但是仿真速度很快,对于比较小的模块或者中型项目的验证,完全可以使用iverilog进行前期开发,以提高开发效率。

轻量

免费

环境配置简单

在本模板上易用

文件说明

.

├── db.dat 记录上次仿真项目,用于重复仿真时直接运行仿真项目

├── imgs 一些图片

│   ├── 20200415-140023.png

│   ├── 20200421-231449.png

│   ├── 20200421-232012.png

│   ├── 20200421-232053.png

│   └── 20200421-232159.png

├── LICENSE

├── main 提供仿真项目引导的shell脚本

├── module 所有仿真项目放在该路径下,一个项目一个文件夹

│   ├── counter 计数器项目

│   │   ├── counter.v

│   │   ├── readme.md

│   │   └── tb.v

│   ├── crc crc循环冗余校验项目呢

│   │   ├── CRC_7.v

│   │   ├── readme.md

│   │   └── tb_crc7.v

│   ├── decode38 38译码器项目

│   │   ├── decode38.v

│   │   ├── readme.md

│   │   └── tb_decode38.v

│   ├── idata 测试项目

│   │   ├── idata1.v

│   │   ├── idata2.v

│   │   ├── tb_idata.v

│   │   └── topdata.v

│   ├── led 简单分频器项目

│   │   ├── readme.md

│   │   ├── tb_led.v

│   │   └── top.v

│   └── 说明.txt

├── README.md

├── run 命令执行仿真指定项目

├── .shell 存放一些其他的shell脚本

│   └── test.sh

├── sim 仿真文件和结果

│   ├── ans.txt

│   ├── tb

│   ├── tb_led.v

│   ├── tb.lxt2

│   └── top.v

└── .TCL 存放一些其他tcl脚本

├── clk.tcl

├── main.tcl

├── verilog2tcl.tcl

└── vfile.v

上面的 .TCL 和 .shell 是隐藏文件夹!

环境安装

在deepin或者ubuntu上,使用命令安装。

使用sudo apt-get install iverilog安装iverilog。

使用sudo apt-get install gtkwave安装波形查看工具。

vscode选择性安装

使用

cd iverilog_module

./main

根据提示选择需要仿真的项目

772c1dd53a8134f559e8aa868352474a.png

c3631d93a1ec53b839a269187f8e7000.png

run脚本方式使用

例如我们要仿真crc7,crc7所在路径为./module/crc ,则使用方法为

cd iverilog_module

执行脚本 ./run -d ./module/crc

这样项目就会开始仿真

8b89703a4278f306f0f6d8811834a0b3.png

终端输入./run -h显示帮助

1d61e3fb84ccf799d073092579d814bc.png

格式说明

每个仿真项目可添加一个readme.md文件作为自身项目的说明,如果项目要在main脚本下显示简短功能说明则要按一下方式写。

0a7a21a498b8944b1269c2ae2244cd78.png

fab0930938b1dd3492d1610fcd5f9cd5.png

修改说明

2020.6.4修改说明

1.添加在分项目中子文件夹下面放置verilog的文件,仿真时将会一并拷贝到sim文件夹。

2.添加隐藏除了正在调试的工程之外的所有工程,减少调试时视觉上的影响。

Usage: run [para]

run -d dir 仿真dir目录

run -i dir 在指定文件夹生成testbech模板,不存在路径则先创建路径

run -f file 运行单.v文件分析

run 仿真上次仿真的目录

run -h 显示帮助

run -r 刷新仿真数据,不在重新开启新的gtkwave

run -hide 隐藏除了正在调试所有工程问价夹(module路径下的文件夹)

run -unhide 显示所有隐藏的工程文件夹(module路径下的)

没有加入环境变量时,上面的run用 ./run代替。

2020.6.24

增加任意分频器的模板,使用方法同 生成testbech模板一样。

yhp@yhp-PC /m/y/_/F/iverilog_module> ./run -h

Usage: run [para]

run -d dir仿真dir目录

run -i dir在指定文件夹生成testbech模板,不存在路径则先创建路径

run -f file 运行单.v文件分析

run 仿真上次仿真的目录

run -h 显示帮助

run -r 刷新仿真数据,不在重新开启新的gtkwave

run -clk dir在指定文件夹生成任意分频器的模板divide.v,不存在路径则先创建路径

run -hide 隐藏除了正在调试所有工程问价夹(module路径下的文件夹)

run -unhide 显示所有隐藏的工程文件夹(module路径下的)

例如我要在module/mclk下生成分频器,则执行./run -clk module/mclk,当然首先得在项目路径下!

78ce2348818b7c70de364ab9c4fcd840.png

生成的divide.v这个分频器文件,将会时钟输出50%占空比的波形,设置分频数N和分频器计数器位宽可以实现1-N分频。以下为其中的部分代码:

`timescale 1ns / 1ps

// ********************************************************************

//FileName: divide.v

//Author:hpy

//Email:yuan_hp@qq.com

//Date:2020年06月18日

//Description:任意整数时钟分频

// --------------------------------------------------------------------

module divide#(

parameter WIDTH = 3, //计数器的位数,计数的最大值为 2**WIDTH-1

parameter N = 3 //分频系数,请确保 N < 2**WIDTH-1,否则计数会溢出

) (

input clk,

input rst_n,

output clkout

);

我们再使用./run -i module/mclk生成testbech仿真模板,对其稍加修改,将divide.v进行例化!

8d91be5e6e3bf39190b87bd308e9abc1.png

然后在 module/mclk路径下的tb.v中例化divide模块,如下:

//生成始时钟

parameter NCLK = 4;

initial begin

clk=0;

forever clk=#(NCLK/2) ~clk;

end

/****************** BEGIN ADD module inst ******************/

//Modulenamme top (rst,clk);

divide divide(

.clk(clk),

.rst_n(rst)

);

/****************** BEGIN END module inst ******************/

然后执行 ./run -d module/mclk对该项目进行仿真,并打开gtkwave查看波形!

yhp@yhp-PC /m/y/_/F/iverilog_module> ./run -d module/mclk

INFO: 当前仿真的目录为 module/mclk

LXT2 info: dumpfile wave.lxt2 opened foroutput.

运行结束!

8157f0acda051b4734a4f7a04f9d33c4.png

2020.12.1

添加了一个使用verilog实现的cpu,在module文件夹下的mini-mcu的readme.md文件有比较详细的介绍。能够实现汇编编译代码,生成带有数据的程序存储器,并自动根据使用的命令裁剪cpu。

项目下存在的仿真模块

---------------iverilog仿真项目menu---------------

1.任意bcd计数器

2.呼吸灯

3.仿真计数器

4.仿真7位CRC

5.仿真38译码器

6.led流水灯

7.序列检测状态机示例

8.这是一个简单的分频器

9.模板生成任意分频器的示例

10.自动售货机(三段式状态机)

11.三人表决器

12.FIFO实现

13.这是一个学习verilog基础语法的例子合集

14.实现一个可裁剪的处理器(mini-mcu)

15.单脉冲发生器

----------------

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
智慧校园整体解决方案是响应国家教育信息化政策,结合教育改革和技术创新的产物。该方案以物联网、大数据、人工智能和移动互联技术为基础,旨在打造一个安全、高效、互动且环保的教育环境。方案强调从数字化校园向智慧校园的转变,通过自动数据采集、智能分析和按需服务,实现校园业务的智能化管理。 方案的总体设计原则包括应用至上、分层设计和互联互通,确保系统能够满足不同用户角色的需求,并实现数据和资源的整合与共享。框架设计涵盖了校园安全、管理、教学、环境等多个方面,构建了一个全面的校园应用生态系统。这包括智慧安全系统、校园身份识别、智能排课及选课系统、智慧学习系统、精品录播教室方案等,以支持个性化学习和教学评估。 建设内容突出了智慧安全和智慧管理的重要性。智慧安全管理通过分布式录播系统和紧急预案一键启动功能,增强校园安全预警和事件响应能力。智慧管理系统则利用物联网技术,实现人员和设备的智能管理,提高校园运营效率。 智慧教学部分,方案提供了智慧学习系统和精品录播教室方案,支持专业级学习硬件和智能化网络管理,促进个性化学习和教学资源的高效利用。同时,教学质量评估中心和资源应用平台的建设,旨在提升教学评估的科学性和教育资源的共享性。 智慧环境建设则侧重于基于物联网的设备管理,通过智慧教室管理系统实现教室环境的智能控制和能效管理,打造绿色、节能的校园环境。电子班牌和校园信息发布系统的建设,将作为智慧校园的核心和入口,提供教务、一卡通、图书馆等系统的集成信息。 总体而言,智慧校园整体解决方案通过集成先进技术,不仅提升了校园的信息化水平,而且优化了教学和管理流程,为学生、教师和家长提供了更加便捷、个性化的教育体验。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值