第12周实验--VGA协议与图像输出Verilog编程

这篇博客介绍了如何使用Verilog HDL实现数字秒表,并通过Quartus进行设计。内容包括新建工程、编写Verilog代码、设置VMF文件、编辑sei end time以及插入node bus来定义变量和设置输入。
摘要由CSDN通过智能技术生成

于Verilog HDL的数字秒表

首先打开Quartus
然后在新建工程ein_test3以及新建verilog HDL文件ein_test3,然后就出现以下:
在这里插入图片描述
代码用的如下:

module ein_test3(clk,reset,pause,msh,msl,sh,sl,minh,minl);
input clk,reset,pause;
output [3:0] msh,msl,sh,sl,minh,minl;
reg [3:0] msh,msl,sh,sl,minh,
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值