VHDL实用教程读书笔记(1)

 

         一个可综合的VHDL描述中最少和最基本的逻辑结构中,IEEE标准库说明、实体和结构体是最基本和不可缺少的三部分

 1 库(LIBRARY)说明

它包括描述器件的输入、输出端口数据类型(即端口信号的取值类型和范围)中将要用到的IEEE标准库中的

STD_LOGIC_1164程序包

 2)实体(

  • 2
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值