用555定时器产生任意赫兹的方波——时钟波形的产生

在前面的话

通过学习学校对于555定时器的MOOC介绍(传送门)现在使用仿真软件Multisim进行对555定时器产生时钟波形的仿真
本篇博文目的是分享巩固学习内容和思路。

555定时器产生1Hz方波的Multisim仿真电路图以及示波器波形图

仿真电路图
仿真电路图
示波器波形图
示波器波形图

后面的总结

详细的555定时器应用在MOOC中非常详细形象讲解了,这里不再赘述。
主要是需要确定需要产生的波形的周期(频率),通过公式的计算确定R1、R2以及电容C1的大小。
555定时器输出波形的公式为:T=ln2 * ( R1+ 2*R2 ) *C1 //此处的ln2取0.7。
我们取C1为10uF,通过计算 R1+ 2*R2 的和取值大概在144Ω就可以达到输出1Hz方波的要求。(其中R1和R2的大小和方波占空比有关。可以根据需要自己调节。)

  • 47
    点赞
  • 415
    收藏
    觉得还不错? 一键收藏
  • 29
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 29
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值