UVM_RAL : 不支持individual_accessible的field 是如何完成field access的

在ral_gen的时候,如果某个field独占了一个byte,或独占了byte的倍数时,ral认为是可以进行单独field的访问的,因此ral_gen出来的ral_model中,针对field的configure函数,其中individually_accessible会被configure 为1,其余不符合条件的field会被configure 0,即认为不可以进行单独field的访问。

但实际上,即使是individual_accessible被配置成0,但调用field write or read,依旧可以完成该field寄存器的读写。这是因为ral在task内部又做了一层调整,当检测到进行该类field的读写时,ral会改变读写类型为UVM_REG,即调用父类的do_write去完成整个寄存器的访问以达到目的。并且会实时根据该reg下其余field的实际值(通过mirror拿到),以及目标访问field的期待值,进行value_adjust,最终达到了不破坏其余field的实际值条件下,并完成了目标field的dut寄存器值的更新。

对于大部分用于访问寄存器的总线来说,应该都是支持最小单位为1byte的寄存器访问,即若是总线为32bit,也可以单独只访问其中的某一个byte。

若DUT的寄存器访问总线不支持对单个BYTE进行访问(只能以REG进行访问),那就需要配置define UVM_REG_NO_INDIVIDUAL_FIELD_ACCESS。这样当环境中调用field访问时,不论该field的individual_accessible是0还是1,都会转化为REG访问。

  • 8
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
回答: UVM_REG_NO_INDIVIDUAL_FIELD_ACCESSUVM注册器类的一个属性,用于指示是否允许对注册器的各个字段进行单独访问。当该属性设置为1时,表示不允许对注册器的字段进行单独访问;当该属性设置为0时,表示允许对注册器的字段进行单独访问。这个属性可以在派生自uvm_reg的类的build方法中进行配置。\[3\]在build方法中,可以通过设置UVM_REG_NO_INDIVIDUAL_FIELD_ACCESS属性来控制是否允许对注册器的字段进行单独访问。如果设置为1,则不允许对字段进行单独访问;如果设置为0,则允许对字段进行单独访问。这个属性的设置可以根据具体的需求来决定。 #### 引用[.reference_title] - *1* *2* [uvm_reg中修改mirror、desired、actual value的方法总结](https://blog.csdn.net/LSC0311/article/details/127338692)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^koosearch_v1,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [uvm_reg_field](https://blog.csdn.net/weixin_37758706/article/details/127481592)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^koosearch_v1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值