LZW字典压缩算法的实现

1数据压缩分类

 

 

 

 

 

 

2.字典压缩的基本原理

以色列人Lempel与Ziv发现在正文流中词汇和短语很可能会重复出现。当出现一个重复时,重复的序列可以用一个短的编码来代替。压缩程序重复扫描这样的重复,同时生成编码来代替重复序列。随着时间的过去,编码可以用来捕获新的序列。算法必须设计成压缩程序能够在编码和原始数据序列推导出当前的映射。

2.1.LZ77算法

LZ77字典算法的想法是企图查找正在压缩的字符序列是否在以前输入的数据中出现过,然后用已经出现过的字符串代替重复的部分,它的输出仅仅是指向早期出现过的字符串的“指针”。例如:

 

 

LZ77算法在某种意义上又可以称为“滑动窗口压缩”,该算法将一个虚拟的、可以跟压缩进程滑动的窗口作为词典,要压缩的字符串如果在该窗口中出现,则输出其出现的位置和长度。使用固定大小窗口进行匹配,而不是在所有已经编码的信息中匹配,是因为匹配算法的时间消耗往往很多,必须限制词典的大小才能保证算法的效率,随着压缩进程移动窗口词典窗口,使其中总包含最近编码过的信息,对大多数信息而言,要编码的字符串往往在最近的上下文中更容易找到匹配串。

 

LZ77算法的基本流程:

1. 从当前压缩位置开始,考察未编码的数据,并试图在滑动窗口中找出最长的匹配字符串,如果找到,则进行步骤2,否则进行步骤3;

2. 输出三元符号组(off,len,c),其中off为窗口中匹配字符串相对窗口边界的偏移,len为可匹配的仓长度,c为下一个字符,即不匹配的第一个字符,然后将窗口向后滑动len+1个字符,继续步骤1;

3.  输出三元符号组(0,0,c),其中c为下一个字符。然后将窗口向后滑动一个字符,继续步骤1.

 

LZ77算法示例:

A

A

B

C

B

B

A

B

C

如上一段字符串,我们可以通过下面的步骤来进行压缩:

 

步骤

位置

输入

匹配串

输出

1

1

A

--

0,0,A

2

2

AB

A

1,1,B

3

4

C

--

0,0,C

4

5

BB

B

3,1,B

5

7

ABC

AB

2,2,C

LZ77算法通过输出真实的字符解决了在窗口中出现没有匹配串的问题,但是这个解决方案包含有冗余信息。冗余学习表现在连个方面:一是空指针;二是编码器可能输出额外的字符,这种字符是指可能包含在下一个匹配串中的字符。

2.2.LZSS算法

LZSS算法的思想是如果匹配串的长度比指针本身的长度还要长就输出指针,否则就输出真实字符。另外要输出额外的标志位区分是指针还是字符。

 

LZSS算法基本流程:

1.从当前压缩位置开始,考察未编码的字符,并试图在滑动窗口中找出最长的匹配字符串,如果匹配字符串长度大于等于最小匹配串长度,则决心步骤2,否则进行步骤3;

2.输出指针二元组(off,len)。其中off为窗口匹配字符串相对窗口边界的偏移,len为匹配串的长度,然后将窗口向后滑动len各字符,继续步骤1;

3.输出当前字符c,然后将窗口向后滑动1个字符,继续步骤1.

 

LZSS算法示例:

A

A

B

C

B

B

A

B

C

如上一段字符串,我们可以通过下面的步骤来进行压缩:

 

  • 0
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
LZW压缩算法是一种经典的无损压缩算法,使用字典进行编码和解码,可以有效地减小数据的存储空间。下面是一个简单的Verilog实现LZW压缩算法的示例。 ``` module lzw_compress ( input clk, input rst, input [7:0] data_in, input valid_in, output [15:0] code_out, output valid_out ); // define constants localparam MAX_DICT_SIZE = 256; localparam MAX_CODE_WIDTH = 9; localparam MAX_CODE_VALUE = 512; // define states typedef enum logic [2:0] { IDLE, SEARCH, ADD, UPDATE } state_t; // define signals reg [7:0] input_char; reg [7:0] prev_char; reg [7:0] search_char; reg [8:0] code_value; reg [8:0] next_code; reg [8:0] dict[MAX_DICT_SIZE]; reg [MAX_CODE_WIDTH-1:0] code_width; state_t state; // initialize variables initial begin input_char = 0; prev_char = 0; search_char = 0; code_value = 0; next_code = 256; code_width = 9; state = IDLE; end // state machine always @(posedge clk) begin if (rst) begin input_char <= 0; prev_char <= 0; search_char <= 0; code_value <= 0; next_code <= 256; code_width <= 9; state <= IDLE; valid_out <= 0; code_out <= 0; end else begin case (state) IDLE: begin if (valid_in) begin input_char <= data_in; state <= SEARCH; end end SEARCH: begin search_char <= prev_char; prev_char <= input_char; if (code_value == 0) begin code_value <= input_char; valid_out <= 1; code_out <= input_char; state <= ADD; end else if (dict[code_value] == 0) begin dict[code_value] <= next_code; next_code <= next_code + 1; valid_out <= 1; code_out <= code_value; state <= ADD; end else if (dict[code_value] == (code_value << 8) + input_char) begin code_value <= dict[code_value]; state <= SEARCH; end else begin code_value <= dict[code_value]; state <= UPDATE; end end ADD: begin if (next_code >= MAX_CODE_VALUE) begin code_width <= code_width + 1; end prev_char <= input_char; code_value <= prev_char; state <= SEARCH; end UPDATE: begin valid_out <= 1; code_out <= code_value; dict[code_value] <= next_code; next_code <= next_code + 1; code_value <= input_char; state <= ADD; end endcase end end endmodule ``` 这个Verilog代码实现了一个LZW压缩模块,可以压缩输入的数据流并输出压缩后的代码流。模块使用一个字典来存储已经出现的字符和对应的编码值,每次读取一个字符并检查是否在字典中出现过,如果出现了则更新当前编码值,否则将当前字符加入字典中并输出上一个编码值。输出的代码流是固定长度的,可以根据需要调整最大编码值和代码宽度。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值