2021-05-07

本文详细介绍了如何使用Verilog进行Modelsim仿真。首先,通过在QuartusII中编写并保存全加器模块代码,然后设置Modelsim仿真环境,选择合适的芯片。接着,在设置好的环境中配置testbench,输入不同的测试用例,并运行仿真。最后,通过实验视频展示了仿真过程,验证了Verilog代码的正确性。通过这个实验,读者能够掌握Verilog Modelsim仿真的基本操作和应用。
摘要由CSDN通过智能技术生成

Verilog Modelsim仿真
一、 实验目的
了解Verilog Modelsim仿真原理以及Verilog Modelsim仿真的应用
二、实验过程
1、打开quartus II,打开代码页面(Verilog HDL File)将代码粘贴到页面上。module add4(S,COUT,CIN,X,Y);
output COUT;
output [3:0] S;
input CIN;
input [3:0]X,Y;

reg [3:0] S;
reg COUT;

always @(X ,Y, CIN)
{COUT,S}=X+Y+CIN;

endmodule

module tb_41;
wire COUT;
wire [3:0] S;
reg CIN;
reg [3:0]X,Y;

initial
begin
X=4’b0000;Y=4’b0000;CIN=1;
#10 X=4’b0000;Y=4’b1110;CIN=1;
#10 X=4’b0101;Y=4’b1010;CIN=1;
#10 X=4’b0000;Y=4’b0000;CIN=0;
#10 X=4’b0000;Y=4’b1110;CIN=0;
#10 X=4’b0101;Y=4’b1010;CIN=0;
#10 $stop;
end

add4 my_add4(S,COUT,CIN,X,Y);

endmodule
2、点击左上角选择save as ,新建一个文件夹(fulladd),并将代码文件命名为add4,保存。

在这里插入图片描述
3、对弹出的窗口中的内容进行操作,选择modelsim,选择芯片,保存
4、找到settings选择test bench,选择刚才的add4文件夹,完成后点击运行,对参数进行调整。在这里插入图片描述
在这里插入图片描述
三、实验视频

[video(video-n4lv5Xji-1620397594237)(type-tencent)(url-https://v.qq.com/txp/iframe/player.html?vid=v3244eufma9)(image-http://puui.qpic.cn/vpic/0/v3244eufma9.png/0)(title-#我们正年轻 不负好时光#

)]

四、实验结论
学会了Verilog Modelsim仿真**

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值