自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(10)
  • 问答 (1)
  • 收藏
  • 关注

原创 csapp datalab1实验记录

csapp datalab1实验记录

2023-03-28 18:12:50 192

原创 vivado电路综合后模块消失

电路仿真后模块消失问题编写了tb文件进行仿真时,仿真的结果是正确的,但是进行综合后,代码中某一个模块直接消失掉了。这个问题之前也出现过。这次找了一下问题。一定是因为代码的问题,不是仿真软件综合的锅。1、顶层例化的时候有没有定义所有的信号,有没有把所有的信号线都连接在一起。2、会出现一个critical warning 大概说是某个端口出现多重驱动,我们看一下综合后的电路也可以发现,很多信号会直接接地,或者直接拉高。这其实就是由于多重驱动导致的,可以先看一下RTL级文件有没有出现什么多重驱动(某一个端

2022-05-09 19:42:30 5276 2

原创 基于pspice进行傅里叶频谱分析

在pspice中进行傅里叶分析

2022-04-13 19:49:32 1856

原创 pspice如何隔离驱动mosfet,MEG和M的

pspice隔离驱动多个mosfet,隔离地的设定

2022-04-10 11:55:13 1357 1

原创 vivado报错:procedural assignment to a non-register result is not permitted“

说明always语句内存在错误。可能原因为赋值语句有错误,或者程序块内信号有问题。阻塞赋值和非阻塞赋值非阻塞逻辑:<=阻塞逻辑:=详细介绍见这位博主总结:1、时序逻辑一定用非阻塞赋值”<=”,一旦看到敏感列表有 posedge 就用”<=”。2、组合逻辑一定用”=” ,一旦敏感列表没有 posedge 就用”=”,一旦看到 assign 就用”=”。3、时序逻辑和组合逻辑分成不同的模块,即一个 always 模块里面只能出现非阻塞赋值”<=”或者”=”。4、assi

2022-03-25 10:28:39 17457 1

原创 system generator结合高版本matlab的使用

system generator 使用的时候需要结合matlab才能正确打开。2019.1Vivado可以支持2017以及以上全部版本的的matlab。所以其实如果我们电脑里有新版的matlab,是不需要再去退回旧版本的。我们只需要在vivado中再进行一个配置:1、在vivado安装路径下,搜索ml_supported.xml文件,双击点开。可以看到所有支持的matlab版本2、如何查看我们目前的电脑里的matlab版本呢?点开控制面板,查看matlab即后续序列号即可。如图所示,我的电脑里

2022-03-19 23:38:55 2524 1

原创 FPGA-ip核学习笔记:FIFO

FPGA-ip核学习笔记:FIFOFIFOFIFO的时钟FIFO写入模块代码解析根据达芬奇pro的指导书写的一些学习笔记,方便后续查阅复习。FIFOFIFO 的英文全称是 First In First Out,即先进先出。FPGA 使用的 FIFO 一般指的是对数据的存储具有先进先出特性的一个缓存器,常被用于数据的缓存,或者高速异步数据的交互也即所谓的跨时钟域信号传递。RAM和ROM可以在外部设定地址线,选择需要读写的位置,但是FIFO只能采取顺序写入数据的方式。FIFO的时钟FIFO分为同步

2022-03-10 16:44:00 952

原创 simulink正弦波失真问题

simulink正弦波 失真问题最简单的,给一个Sin wave然后拿scope测,却发现正弦波输出近似为三角波点击model setting把最大步长改一下,改的小一点。输出漂亮的正弦波:)

2021-11-23 20:15:16 6480

原创 Vivado配置+开发流程介绍

Vivado配置+开发流程在Vivado出现之前,大家开发赛灵思FPGA普遍始终赛灵思官网下的的ISE集成环境,我最开始也打算下载这个。。但是由于自己学校是军工七子。。已经被美国拉入实体清单,而网上现有的开发包都不支持新出的win11系统。。遂直接转战Vivado事实证明这是一个相当不错的选择,Vivado下载,使用,包括后续的交流论坛都相对比较完善,配合VSCode更是让代码编辑器来爽到起飞。作为一个FPGA开发小白,有说的不对,不精准的地方还请大家多多指出。项目源文件文档指路GitHub:我的仓

2021-11-16 14:37:17 3894 2

原创 Vivado常见问题集锦

Vivado常见问题集锦目前在学习FPGA的基本知识,之前只是在数电实验课上简单的写过几个小程序,现在属于两条腿走路,一边做硬件一边分出一定的时间做FPGA开发。我的开发板使用的是赛灵思旗下的EGO1。好处就是比较易得,实验室里就有,可以借出来不用花钱。坏处就是这个开发板相关学习资源比较少,经销商出品的一款指导书错误不断,代码很多都有问题。。作为Vivado,Verilog,fpga小白。。我遇见了很多很多很多问题,目前走的很坎坷但我觉得以后能熟练应用!我将把开发EGO1中的各种遇到的错误整理到这里。

2021-11-15 16:47:38 5110

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除