单独编译KVM模块的方法(进行调试)

105 篇文章 2 订阅

进入KVM代码目录

cd /home/liufeng/workspace/src/open/linux/arch/x86/kvm


开始编译

make -C /lib/modules/`uname -r`/build M=`pwd` clean

make -C /lib/modules/`uname -r`/build M=`pwd` modules


拷贝编译结果出来,并使用

cp *.ko /home/kvm/tools/modules/

cd /home/kvm/tools/modules/

modprobe -r kvm_intel

modprobe -r kvm


modprobe irqbypass

insmod kvm.ko

insmod kvm-intel.ko 



评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值