自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

僧哥叨叨叨

去伪存真,大道自然。

  • 博客(25)
  • 资源 (25)
  • 收藏
  • 关注

转载 Rk3288资料

入门指南(初学者必看):http://wiki.t-firefly.com/index.php/Firefly-RK3288/Starter_guide维基教程(入门教学、驱动开发教程、Android&Linux系统开发教程):http://wiki.t-firefly.com资料下载(SDK源代码、升级工具、固件、硬件资料、视频教程、教学文档):http://www....

2018-08-30 08:50:31 2849 1

转载 交叉编译器制作教程

原文地址:http://blog.csdn.net/zhanglianpin/article/details/22031267目录(?)[-]为什么要编译两次GCC configure error missing required tool bison configure error missing required tool flex configure error could n...

2018-08-29 13:50:41 2149

转载 开源语音识别项目

语音识别项目:http://www.oschina.net/project/tag/203/tts-speechsf.nethttp://www.codesoso.net/Search?q=%D3%EF%D2%F4%CA%B6%B1%F0&l=chttp://search.codesoso.com/Search?q=%E8%AF%AD%E9%9F%B3%E8%AF%86%E...

2018-08-29 09:38:43 11448

转载 无线高清会议室

转载文章:https://blog.csdn.net/wanghorse 详细看看 项目开发流程和技术都很详细的 贴文章致敬该博文作者!!无线高清会议室终端之Miracast/HDCP前言: 公司在2015年5月立项做一款硬件类产品,使用SOC(CPU+DSP)芯片方案做一款高清会议室终端,除了会议功能之外,需要具备多路无线投屏功能。项目从5月份立项、历经搭建...

2018-08-22 11:00:02 745

转载 WebRTC音频处理流程概述

转载自 https://blog.csdn.net/ssdzdk/article/details/39577335 好博客,音频处理摘要:本文主要介绍WebRTC的APM。WebRTC强大的技术和易用性在此不再累述。研究了RTC那么久的时间,在国内的社区和论坛鲜见详细介绍其中具体技术的文章和讨论。因此准备花点时间总结一下自己对WebRTC 的Audio Processing Modu...

2018-08-22 10:54:28 783

原创 高速接口---基础篇(一)

**输入输出局限:**早期的并行总线,接口的数据对齐问题影响设备的有效通信。在大通量复杂信号通讯的情况下,传输速度要求越高,信号延迟管理越来越困难。**破局:****一、芯片之间:采用差分信号来提高通信速度。**单端信令,2个IC通过单一信号线进行连接,信号与指定电压范围或者参考电压进行比较。差分信令,是利用两根信号线之间的电压进行比较,抗干扰能力强,有助于保持恒定的IC驱动电流...

2018-08-22 09:52:32 12859

转载 关于STM32库中 __IO 修饰符(volatile修饰符)

STM32例子代码中会有像这样的代码 static __IO uint32_t TimingDelay; 这里边的__IO修饰符不好理解,单从字面可以看出是为IO相关,查其标准库可以得知这个__IO原来是在Core_cm3.h中被重定义,其实就是volatile,句子如下/* IO definitions (access restrictions to peripheral regist...

2018-08-21 11:11:38 5870

原创 ucos多任务与linux进程、多线程的比较分析

从最初使用51片机,再到avr、msp430,arm7、arm9裸机,单片机的处理速度越来越快,而产品需求的日新月异,在硬件成本、功耗、体积以及开发周期等的限制下,开发人员倾向于挖掘mcu的各种潜能。实时性多任务就是其一吧。多任务的重要性不必多说,就相当于一个人同时右手画方左手画圆,想想都觉得牛逼,我办不到,但是用片mcu编程序却可以实现。当然,对于单核的mcu来说,不存在完全的实时多任务,它的...

2018-08-21 10:01:46 3566 1

转载 十个经典Android开源APP项目

Android开发又将带来新一轮热潮,很多开发者都投入到这个浪潮中去了,创造了许许多多相当优秀的应用。其中也有许许多多的开发者提供了应用开源项目,贡献出他们的智慧和创造力。学习开源代码是掌握技术的一个最佳方式。下面推荐几个应用开源项目,这些项目不仅提供了优秀的创意,也可以直接掌握 Android内核的接口使用:  1、Android团队提供的示例项目   如果不是从学习Android SDK...

2018-08-20 14:59:00 3192

转载 十个经典的C开源项目代码

开源世界有许多优秀的开源项目,我选取其中十个最优秀的、最轻量级的C语言的项目,希望可以为C语言开发人员提供参考。 十个最值得阅读学习的C开源项目代码 1. Webbench 2. Tinyhttpd 3. cJSON 4. CMockery 5. Libev 6. Memcached 7. Lua 8. SQLite 9. UNIX v6 10. NETBSD 十个最值得阅...

2018-08-20 14:57:35 1603

原创 迅为itop4412烧写ubuntu,脱离tf卡启动

迅为itop4412烧写ubuntu,纠正了手册问题。手册上的步骤有一些纰漏。 环境:ubuntu12.04 工具: SDFormater: sd卡分区后的格式化工具 Hyper Terminal: 串口调试用超级终端我用的7寸屏,lvds-lcd接口,驱动选择iTOP4412_ubuntu_12.04_for_LCD_20141230.tar.gz,看准自己的型号。步骤:1....

2018-08-17 09:34:52 1909 2

原创 miniSD卡分区后的再次完成格式化

有时候用sd卡烧写ubuntu系统后,分区无法用windows进行格式化。 解铃还须系铃人,于是再次用上ubuntu。 第一步:sudo fdisk -l 查看磁盘分区详细内容,同时确定U盘分区的盘符,这里假设要格式化的U盘是 /dev/sdb 第二步:sudo umount /dev/sdb* 这个命令主要是卸载U盘分区 ,星号用实际自己的分区决定,我的是/dev/sdb4 ...

2018-08-16 09:00:44 584

原创 linux下多线程采集温度----七段数码管(二)

本文主要是围绕数码管的显示、ADC温度采集、按键操作展开,温度的正常阈值保存在eeprom中,当温度的值超过 设定的阈值后,数码管闪烁,蜂鸣器报警。按键可以调节温度的上、下限。其中,板载的按键可以加热发热电阻进行场景的测试。注:涉及到驱动文件imx_key.ko lradc.ko可以自己编写或者在我的资源中下载。在编译过程中,对数函数的编译加上 -lm ,多线程的编译加上 -pth...

2018-08-14 09:34:05 566

转载 C语言实现卡尔曼滤波

首先,kalman是一个数字滤波器。 我们将叠加了噪声的模拟信号输入到滤波器中,滤波器给出一个响应。这个响应就是输入信号去掉噪声之后的真值。当然,我们可以通过调整滤波器参数,使得响应尽可能接近客观真值。当然,在使用中我们用AD将模拟信号数字化之,但是因为模拟信号本身包含了噪声,即使AD没有误差,数字化之后的数字量也是含有噪声的。况且,不可避免的,还要考虑AD的误差。我们把这种误差就叫做测...

2018-08-13 10:30:39 1491 2

转载 汽车OBD2诊断程序开发

相关文章1、一个完整的汽车OBD仪器的源码,每个模块的代码都在里面—-http://www.pudn.com/Download/item/id/3057338.html2、汽车OBDCAN信息解析—-http://www.docin.com/p-1208669019.html3、车联网-OBD应用—-http://blog.csdn.net/mirkerson/article/deta...

2018-08-13 09:01:45 2846

转载 关于Linux虚拟化技术KVM的科普

转自 :https://www.cnblogs.com/arnoldlu/p/6421317.html 虚拟化技术应用越来越广泛,虚拟化技术需求越来越强劲。KVM、XEN、Docker等比较热门,尤其是KVM技术越来越受欢迎。基于此背景,了解一下KVM+QEMU就有点必要了。从网上收集了一些资料进行科普,由于篇幅太长,分开如下:《关于Linux虚拟化技术KVM的科普 科普一...

2018-08-08 11:28:50 227

转载 图像处理与机器视觉网络资源收罗——倾心大放送

引文来自:https://blog.csdn.net/baimafujinji/article/details/32332079 非常好的博主,多去看看做图像处理或计算机视觉研究和开发,常会在线搜索一些资源,日积月累便挖出了一堆比较牛的博主,特别说明:做这个方向的人很多,牛人也很多,但是这些资源大部分主要突出实用主义,相关博主也并不一定是这个领域中的泰山北斗(第一波中大部分都不是学校里的教授...

2018-08-08 11:19:26 301

转载 10种室内定位技术原理深度解析

http://m.elecfans.com/article/586741.html?fromtype=app

2018-08-08 11:13:00 3103

转载 ZYNQ 高速接口系列(一) PCIe接口

https://blog.csdn.net/long_fly/article/details/79150820

2018-08-08 11:08:14 5502

转载 再读 ucosII源码--时间管理

时间管理 前文描述过, 时钟的中断服务子程序调用节时钟节函数OSTimeTick——该函数用于通知µC/OS-Ⅱ发生了时钟节拍中断。 相关的函数见下文,源码于os_time.c中.任务延时函数,OSTimeDly() µC/OS-Ⅱ提供了这样一个系统服务: 申请该服务的任务可以延时一段时间,这段时间的长短是用时钟节拍的数目来确定的。实现这个系统服务的函数叫做OSTimeDly(...

2018-08-07 08:42:47 498

转载 基于FPGA的图像边缘检测

本文主要内容是实现图像的边缘检测功能目录 mif文件的制作 调用 ip 核生成rom以及在 questasim 仿真注意问题 灰度处理 均值滤波:重点是3*3 像素阵列的生成 sobel边缘检测 图片的显示 结果展示 mif文件的制作 受资源限制,将图片像素定为 160 * 120,将图片数据制成 mif 文件,对 rom ip 核进行初始化。mif文件的制作方法网上有好多办...

2018-08-06 17:25:43 1324

转载 进程与线程---大杂烩

进程(process)和线程(thread)是操作系统的基本概念,但是它们比较抽象,不容易掌握。1.计算机的核心是CPU,它承担了所有的计算任务。它就像一座工厂,时刻在运行。2.假定工厂的电力有限,一次只能供给一个车间使用。也就是说,一个车间开工的时候,其他车间都必须停工。背后的含义就是,单个CPU一次只能运行一个任务。3.进程就好比工厂的车间,它代表CPU所能处理的单个任...

2018-08-06 17:03:16 124

原创 多线程tcp server程序---小改

代码是别人的,作为例子跑跑。主要是做个修改标记,致谢前辈。代码如下:/*tcp_server.c*/#include <sys/wait.h>//防退出添加#include <stdlib.h> #include <stdio.h> #include <errno.h> #include &l

2018-08-03 16:50:38 684

原创 DSP28335中spi的配置------mcbsp配置&自带的spi配置

之前写过一个dsp驱动w5200以太网的驱动,分别用到如题的两种方式 下面简单介绍下配置要点和收发等情况; spi的引脚控制主要用到 SPISOMI: 主入从出 SPISIMO:主出从入 SPICLK:时钟第一种方式:mcbsp配置成spi —————————————————–mcbsp—————————————————————————————-void LAN1_Gpio(...

2018-08-02 11:37:16 9421

原创 linux下用文件控制gpio的输出电平

在写使用spi过程中,需要用到GPIO3.21这个引脚的高电平输出信号。 在超级终端里面的输入方法:root@EasyARM-iMX28x /sys/dev# cd /sys/class/gpioroot@EasyARM-iMX28x /sys/class/gpio# echo 117 >export ...

2018-08-02 09:56:21 4031 3

xilinx2019.rar

xilinx vivado2019 完全可以用,仅用于学习,永久有效的网盘资源,如果连接失败,请私信我。

2020-06-02

r2019a_CN_x64.rar

软件仅供学习用,激活方式在此不多说,希望对你有用,象征性收几个积分,不喜勿喷,亲测可用。

2019-07-25

MODBUS通讯协议中文版

MODBUS通讯协议中文版 这篇文档包含了485 、以太网等进行通信的modbus协议

2018-06-27

COMTRADE数据包

这是一个电站的录波数据包,包含.cfg .dat .conf 能通过软件直接看波形等信息,相关博客https://blog.csdn.net/liboxiu/article/details/80755705

2018-06-21

ffmpeg-2.13 ubuntu安装配置文件 大全

首先要升级相关程序 1. yasm-1.2.0 1.1 下载文件 wget http://www.tortall.net/projects/yasm/releases/yasm-1.2.0.tar.gz 1.2 安装方法如下 1.2.1. tar -zxvf yasm-1.2.0.tar.gz 1.2.2 cd yasm-1.2.0 1.2.3 ./configure 1.2.4 make 1.2.5 make install 2. 安装autoconf、automake、libtool sudo apt-get install autoconf automake libtool 3. 安装libopencore-amrnb-dev libopencore-amrwb-dev sudo apt-get install libopencore-amrnb-dev libopencore-amrwb-dev 4. 安装gcc sudo apt-get install gcc 5. 其中一个解码器源码头文件错误,根据提示注释掉该头文件错误的函数声明即可 接下来安装各种解码器 1. lame 1.1 下载地址:http://sourceforge.net/projects/lame/files/lame/ 在该地址下下载最新版本的lame. 1.2 下载文件(当前最新版本是3.99.5) wget http://colocrossing.dl.sourceforge.net/project/lame/lame/3.99/lame-3.99.5.tar.gz 1.3 安装方法如下 1.3.1. tar -zxvf lame-3.99.5.tar.gz 1.3.2 cd lame-3.99.5 1.3.3 ./configure --enable-shared 1.3.4 make 1.3.5 make install 2. libogg 2.1 下载地址:http://www.xiph.org/downloads/ 在该地址下下载最新版本的libogg . 2.2 下载文件(当前最新版本是1.3.1) wget http://downloads.xiph.org/releases/ogg/libogg-1.3.1.tar.gz 2.3 安装方法如下 2.3.1. tar -zxvf libogg-1.3.1.tar.gz 2.3.2 cd libogg-1.3.1 2.3.3 ./configure 2.3.4 make 2.3.5 make install 3. libvorbis 3.1 下载地址:http://downloads.xiph.org/releases/vorbis/ 在该地址下下载最新版本的libvorbis . 3.2 下载文件(当前最新版本是1.3.4) wget http://downloads.xiph.org/releases/vorbis/libvorbis-1.3.4.tar.gz 3.3 安装方法如下 3.3.1. tar -zxvf libvorbis-1.3.4.tar.gz 3.3.2 cd libvorbis-1.3.4 3.3.3 ./configure 3.3.4 make 3.3.5 make install 4. xvid 4.1 下载地址:http://downloads.xvid.org/downloads 在该地址下下载最新版本的xvid. 4.2 下载文件(当前最新版本是1.3.2) wget http://downloads.xvid.org/downloads/xvidcore-1.3.2.tar.gz 4.3 安装方法如下 4.3.1. tar -zxvf xvidcore-1.3.2.tar.gz 4.3.2 cd xvidcore-1.3.2/build/generic 4.3.3 ./configure 4.3.4 make 4.3.5 make install 5. x264 5.1 下载文件 git clone git://git.videolan.org/x264.git 5.2 安装方法如下 5.2.1 cd x264 5.2.2 ./configure --disable-asm --enable-static --enable-shared 5.2.3 make 5.2.4 make install 6. libdts 6.1 下载地址:http://www.videolan.org/developers/libdca.html 在该地址下下载最新版本的libdts. 6.2 下载文件(当前最新版本是0.0.5)wget http://download.videolan.org/pub/videolan/libdca/0.0.5/libdca-0.0.5.tar.bz2 6.3 安装方法如下 6.3.1. tar -jxvf libdca-0.0.5.tar.bz2 6.3.2 cd libdca-0.0.5 6.3.3 ./configure 6.3.4 make 6.3.5 make install 7. a52 7.1 下载地址:http://liba52.sourceforge.net/downloads.html 在该地址下下载最新版本的a52. 7.2 下载文件(当前最新版本是0.7.4) wget http://liba52.sourceforge.net/files/a52dec-0.7.4.tar.gz 7.3 安装方法如下 7.3.1. tar -zxvf a52dec-0.7.4.tar.gz 7.3.2 cd a52dec-0.7.4 7.3.3 ./configure 7.3.4 make 7.3.5 make install 8. faad2 8.1 下载地址:http://www.audiocoding.com/downloads.html 在该地址下下载最新版本的faad2. 8.2 下载文件(当前最新版本是2.7) wget http://hivelocity.dl.sourceforge.net/project/faac/faad2-src/faad2-2.7/faad2-2.7.tar.gz 8.3 安装方法如下 8.3.1. tar -zxvf faad2-2.7.tar.gz 8.3.2 cd faad2-2.7 8.3.3 autoreconf -vif 8.3.4 ./configure --with-mp4v2 --enable-shared 8.3.5 make 8.3.6 make install 9. faac 9.1 下载地址:http://www.audiocoding.com/downloads.html 在该地址下下载最新版本的faac. 9.2 下载文件(当前最新版本是1.28) wget http://hivelocity.dl.sourceforge.net/project/faac/faac-src/faac-1.28/faac-1.28.tar.gz 9.3 安装方法如下 9.3.1. tar -zxvf faac-1.28.tar.gz 9.3.2 cd faac-1.28 9.3.3 ./bootstrap 9.3.4 ./configure 9.3.5 make 9.3.6 make install 10. amr-nb 10.1 下载地址:https://code.google.com/p/ostube/downloads/detail?name=amrnb-10.0.0.0.tar.bz2&can=2&q= 在该地址下下载最新版本的amr-nb. 10.2 下载文件(当前最新版本是10.0.0.0) wget https://ostube.googlecode.com/files/amrnb-10.0.0.0.tar.bz2 10.3 安装方法如下 10.3.1. tar -jxvf amrnb-10.0.0.0.tar.bz2 10.3.2 cd amrnb-10.0.0.0 10.3.3 ./configure 10.3.4 make 10.3.5 make install 11. amr-wb 11.1 下载地址:https://code.google.com/p/hio/downloads/detail?name=amrwb-7.0.0.1.tar.bz2&can=2&q= 在该地址下下载最新版本的amr-wb. 11.2 下载文件(当前最新版本是7.0.0.1) wget https://hio.googlecode.com/files/amrwb-7.0.0.1.tar.bz2 11.3 安装方法如下 11.3.1. tar -jxvf amrwb-7.0.0.1.tar.bz2 11.3.2 cd amrwb-7.0.0.1 11.3.3 ./configure 11.3.4 make 11.3.5 make install 解决:ERROR: libmp3lame >= 3.98.3 not found sudo apt-get install libmp3lame-dev 下载: http://kakola.googlecode.com/files/lame-3.98.4.tar.gz 运行命令: wget http://kakola.googlecode.com/files/lame-3.98.4.tar.gz 安装lame-3.98.4.tar.gz, 以免在第三步的时候出现ERROR: libmp3lame >= 3.98.3 not found错误, 需要提前安装lame. tar zvxf lame-3.98.4.tar.gz cd lame-3.98.4 ./configure --enable-shared make make install 12.修改配置文件,并重新启动计算机 编辑 ld.so.conf vi /etc/ld.so.conf 添加一行 /usr/local/lib 13. 安装ffmpeg 13.1 下载地址:http://ffmpeg.org/releases/ 在该地址下下载最新版本的ffmpeg 13.2 下载文件(当前最新版本是2.1.3) wget http://ffmpeg.org/releases/ffmpeg-2.1.3.tar.bz2 13.3 安装方法如下 13.3.1. tar -jxvf ffmpeg-2.1.3.tar.bz2 13.3.2 cd ffmpeg-2.1.3 13.3.3 ./configure --prefix=/usr/local/ffmpeg2 --disable-static --enable-shared --enable-libmp3lame --enable-libvorbis --enable-gpl --enable-version3 --enable-nonfree --enable-pthreads --enable-libfaac --enable-libopencore-amrnb --enable-libopencore-amrwb --enable-libx264 --enable-libxvid --enable-postproc --enable-ffserver --enable-ffplay 13.3.4 make 13.3.5 make install

2018-06-01

UWB无线定位延时软件 上位机测试

UWB无线定位延时软件 上位机测试 可以参考学习,欢迎下载

2018-05-29

vivado2018.1证书

vivado_2018.01 license 亲测可用 官方2018.1最新版 需要的自取

2018-05-29

unix网络编程

unix网络编程 卷1 套接字 网络编程的经典好书。。。。

2018-02-09

UNIX环境高级编程(中文第三版).zip

UNIX 中文第三版不带书签 高清 嵌入式学习好资料

2018-02-09

kinect 校准 棋盘

9x11x0.02 kinect 校准 棋盘 pdf格式,直接打印

2017-08-08

kinect 校准棋盘

chess7x9x0.025 方格,kinect校准

2017-08-08

OPENCV 相机校准棋盘 方格

OPENCV 相机校准棋盘 方格 图片格式,根据需要下载

2017-08-08

OPENCV 相机校准棋盘 圆点

图片格式的校准图,圆点

2017-08-08

kinect 专用校准棋盘

kinect校准用棋盘格,pdf格式可以直接A4纸打印

2017-08-08

ads1.2.part2.rar

ads1.2第二卷,其他两卷在我的资源中,欢迎下载

2017-07-14

ads1.2.part3.rar

这是ads1.2的第3卷,第一卷也在我的上传资源中,必须3部分全部下载才能解压安装

2017-07-14

ads1.2.part1.rar

由于平台上传的文件必须小于50M,分成了3个压缩卷,另外两卷免积分,本人也要积分下载其他资源,象征性收2分。

2017-07-14

ubuntu下motion的配置

ubuntu下motion的配置,虚拟机能够本地查看视频,pc机看配置文件,邮箱在测试,希望对你有帮助,最主要的是要搞清楚各个配置的含义,motion.conf只是部分内容,不可以直接代替motion目录下的motion.conf

2017-07-07

live555和vlc,能够快速调出视频,减少出错

这是本人刚刚测试过的的安装调试方法,可以减少走弯路

2017-07-07

2017最全的vivado license 源码下载

2017年最新vivado的license,测试可用

2017-06-07

RTD1185_Features

这是一篇关于rtd1185的文件,希望能对你有帮助

2015-12-07

呼吸灯制作

想要制作呼吸灯的朋友可以看看,很实用,简单

2013-12-04

jakarta-tomcat-5.5.9.exe

jakarta-tomcat-5.5.9.exe

2012-10-30

货物管理.rar

货物管理.rar

2012-10-25

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除