自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(15)
  • 资源 (8)
  • 收藏
  • 关注

原创 AMD x86 APM 卷2 阅读笔记 --- 1.2 x86 架构的内存管理

参考链接:AMD x86架构 官方文档 APM

2020-10-31 09:55:12 210

原创 AMD x86 APM 卷2 阅读笔记 --- 1.1 x86架构的内存模型

参考文档链接:https://download.csdn.net/download/liujingyu_1205/13070978

2020-10-29 12:46:50 222

原创 AMD x86 APM 卷2 阅读笔记 --- 1.x86处理器系统软件编程概述

卷2 第1章 系统软件编程概述参考链接:V2-p1 ,Total-p443,1 System-Programming Overview本章主要介绍AMD 64 架构中,跟系统软件开发者有用的features和Capabilities:1.地址类型,以及内存组织形式2.内存管理硬件访问不同形式地址的内存的方法3.处理的运行模式(operating mode),以及内存管理硬件如何支持这些modes。4.系统控制寄存器如何控制系统资源5.其他:硬件对任务(multitasking),machine

2020-10-29 11:06:44 289 2

原创 systemverilog:virtual 关键字的用法

这里写自定义目录标题场景1场景2:场景3:场景4:我在csdn上查询了systemverilog中关于virtual关键字的用法,发现了这么一句话:“***virtual function/task:用于OOP思想的继承使用。当定义了virtual时,在子类中调用某function/task,会先查找在子类中是否定义该function/task,如果没有定义该function/task,则在...

2020-04-24 11:38:10 2216 4

原创 如何让默认运行tcsh的Linux 终端标题自动设置为当前路径

Linux终端(默认运行tcsh脚本)的title默认只是一个 “Terminal”, 如何让当前的title直接显示当前终端所在的路径呢?有两种方法,如下所示:如果这样还不凑效,你要先设置一下终端菜单栏里Edit — Profile Preferences — Title and Command中的 “When terminal commands set their own title...

2019-11-05 10:14:23 2599 2

原创 【ARM-MMU】ARMv8-A 的4K页表四级转换(VA -> PA)的过程

为什么使用虚拟地址在早期的计算机中,要运行一个程序,会把这些程序全都装入内存,程序都是直接运行在内存上的,也就是说程序中访问的内存地址都是实际的物理内存地址。在早期的计算机中,要运行一个程序,会把这些程序全都装入内存,程序都是直接运行在内存上的,也就是说程序中访问的内存地址都是实际的物理内存地址。当计算机同时运行多个程序时,必须保证这些程序用到的内存总量要小于计算机实际物理内存的大小。那当程序...

2019-03-01 16:46:50 3903 1

原创 最简单的uvm程序和vcs编译命令

#1代码#2 VCS 命令#3 输出:

2018-10-23 10:37:52 8508 2

转载 Systemverilog 的 virtual 关键字的用法

1. 主要应用场景 virtual interface virtual classs virtual task virtual function2. 简介由virtual所声明的内容可理解为一个模板,后续的例化和引用可在这个模板上进行增加或修改,这样就呈现出特定的内容或特性。不同的引用或修改实现不同的对象、接口和方法。这样就很方便的实现了面向对象的特性,即多态实现随继承...

2018-08-10 14:39:03 8178

转载 Systemverilog 中 program 和 module 的区别

module和program相似之处1.和module相同,program也可以定义0个或多个输入、输出、双向端口。2.一个program块内部可以包含0个或多个initial块、generate块、specparam语句、连续赋值语句、并发断言、timeunit声明。3.在program块中数据类型、数据声明、函数和任务的定义均与module块类似。4.一个设计中可以包含多个pr...

2018-08-10 14:31:17 7683

转载 验证中的 SystemVerilog 和 CPP 的区别

1 函数的参数传递SV:SV默认为值传递,即使是传递对象和数组,也就是说对参数的改变只在函数内有效,无论input,output还是inout都会在函数内部进行参数值的拷贝,只是拷贝的具体时间不同,input是在执行前拷贝,output是在执行后拷贝,inout是拷贝两次,只有使用ref关键字才能做引用传递。CPP:提供值传递,指针传递和引用传递三种方式,因此对象和数组使用指针传递,而虚函...

2018-08-10 14:05:34 623 1

原创 Systemverilog 最简单的编程实例

代码编译命令vcs tb.v -full64 -sverilog -R这是最简单的命令了。一个也不能少。 关于vcs的option,可以查看如下链接: VCS Option大全

2018-08-10 13:30:57 11209 2

转载 关于 Systemverilog 的类的基础知识

1class中的变量、宏定义等称为类的属性,函数和任务称为类的方法2声明对象时可以指定input/output/inout/ref3复制对象,复制的是句柄而不是对象的内容。 类的每个对象,对于属性、方法等都有自己的副本4class c;...endclassc c0; //“c0”就是对象c0的句柄,在此处仅相当于一个name,类似于仅是创建...

2018-08-10 09:09:44 10643 1

原创 ARMv8 汇编指令编写的一些小技巧

以A64指令为例:0.向通用寄存器(GPR)写入任意数据的方法ldr x0, =0xabcdef1.向通用寄存器写入函数地址的方法方法1:ldr x0, =label //用ldr指令blr x0......label: mov x1, #0 ... ... ret方法2:adr x0, =label //用adr...

2018-08-08 09:32:28 2184

原创 ARM Aarch32 中 A32 和 T32 的汇编编程的例子(call return stack 结构相关的指令)

1.A32指令

2018-08-06 22:40:36 5641

原创 python 读取excel的方法

python 读取excel的方法 以文件 FILE.xlsx (1) 对于openpyxl https://blog.csdn.net/aishenghuomeidaoli/article/details/52160408 ①打开: wb = openpyxl.load_workbook(“FILE.xlsx”) ②读某个sheet: wb.sheetnames : 这是一个lis...

2018-08-06 20:53:04 933

ARM指令集详解及实例.doc

arm编程的教程,带有各种实例,对学习arm指令有重大帮助。 目录: 算术和逻辑指令 移位指令 分支指令 .....

2020-08-20

AMD64 ArchitectureProgrammer Manual.pdf

AMD架构CPU的技术说明书,这个文档将V1-V5 的内容集成到了一起。对AMD架构级别的知识感兴趣的同学,可以自由下载。 AMD64 Architecture Programmer’s Manual Volume 1- Volume 5 Application Programming

2020-08-20

Makefile的使用和编写.ppt

Makefile的概念 Make的调用格式 Makefile的基本格式 Makefile的扩展话题 常见项目的Makefile格式 直接编译 遍历子目录 autoconf生成形

2020-08-20

1.Initial-Boot-Sequence.pdf

x86 Initial Boot Sequence Advanced Operating Systems and Virtualization。 一个关于linux 的启动过程的PPT,很详细,值得一读。

2020-08-20

ARM体系结构与编程.pptx

主要讲解以下内容: ARM概述和分类 ARM体系结构和存储系统 ARM指令集和汇编程序设计 ARM中断和异常处理

2020-08-20

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除