最简单的uvm程序和vcs编译命令

1. 代码

在这里插入图片描述

2. VCS 命令以及解释

在这里插入图片描述

	+incdir+directory               添加文件的搜索路径
    -CC                       "opts" pass 'opts' to C compiler
	-CFLAGS                      "opts" pass 'opts' to C compiler    // cc 和 cflags 作用相同
	-DVCS                            当我们使用外部的UVM源(库?)时,必须使用-DVCS选项
	$(UVM_HOME)/src/dpi/uvm_dpi.cc   导入 DPI-C 的程序 (一般要和 -CC 和 -DVCS 一起用)
	+acc                        Enable pli applications to use acc routines (see manual)
	-sverilog                        Enables the use of SystemVerilog code
	+vcs+lic+wait                    等待vcs的license
	-l file_name                 logfile文件名
	-R                               在编译之后立即执行产生的可执行文件         

vcs option 链接1
vcs option 链接2
关于 -DVCS 的解释

3. 输出

在这里插入图片描述

  • 1
    点赞
  • 46
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值