DSP2812--调试错误及解决办法1——Debug/led.out' not built

 

 经常看到朋友有问到这一个错误,"error: symbol referencing errors",下面我们以一个会员朋友遇到的问题为例讲述解决方法,其实非常简单。首先我们从错误提示的字面意义上来理解,是“标签引用错误”,就是说下面提示到的量引用出现了错误,要么输入错误,要么未定义。我们可以根据提示一个一个解决。

1.jpg



上图中的第一个错误,显示第一次引用的文件是“ C:\ti2000\myprojects\led18\Debug\DSP28_InitPeripherals.obj”,说明这个量首次出现在“DSP28_InitPeripherals.c”。我们去这个文件看看,下面是截图:
2.jpg


我们可以看到,确实引用了函数InitEv(),那InitEv()函数的定义在哪呢?一般按照习惯,InitEv的定义会放在文件DSP28_Ev.c文件里,我们再到这个文件中看看,如下图所示:
3.jpg


我们会发现里面原来没有InitEv()函数的定义。解决方法是添加这个函数的定义。

下面我们来看第二个错误,显示第一次引用的文件是:“ C:\ti2000\myprojects\led18\Debug\LED.obj”,说明这个标签第一次出现的地方是LED.C文件,打开该文件,找到这个标签所在的位置:
4.jpg


发现在这里,引用时写的是:InitPiectrl ,然后我们再到这个函数定义的文件DSP_PieCtrl.c文件,我们会看到,定义时这个函数是:InitPieCtrl,我想大家应该看到哪里出问题了吧。
5.jpg


第三个问题和第二个问题是一样的,都是由于自己笔误写错了字母,导致定义的量和引用的量不一样了。

还有一种情况,我们换一个LIB文件看一下会出现什么错误:
6.jpg



同样是rst2800_ml.lib文件,只是一个是CCS自带的,一个是例程中的,我们会发现多了两行奇怪的变量错误。反推过来,遇到这种看不懂的变量错误的时候,建议换一个LIB文件试试。

通过这个例子,我也希望大家能够养成根据错误提示来分析问题的习惯。有些问题我们是能够通过自己的分析来解决的,要多想想,呵呵。也希望大家能够把经过自己的努力所解决的问题,总结之后同大家分享,这样我们能够帮助到以后的朋友,也能够为这个领域技术的发展献上自己一点点的微薄之力。
 

 

undefined                        first referenced

 symbol                              in file

---------                        ----------------

FS$$MPY                         C:\CCStudio_v3.3\MyProjects\led\Debug\DSP281x_CpuTimers.obj

FS$$TOL                         C:\CCStudio_v3.3\MyProjects\led\Debug\DSP281x_CpuTimers.obj

>>   error: symbol referencing errors - './Debug/led.out' not built

 

>> Compilation failure

 

Build Complete,

  2 Errors, 0 Warnings, 0 Remarks.

 问题原因:添加的.c文件中有提到的子函数没有定义

这是没有为工程指定选用具体的rts库文件所致;两种方法可以用来进行修正,
方案一、直接将所需要的库文件加到项目工程中,譬如:鼠标右键,点击“Add Files to Project...”,选中:C:\CCStudio_v3.3\C5500\cgtools\lib\rts55.lib
方案二、修改链接器的链接参数即可;譬如:鼠标右键,点击“Build Options...”,选Linker TabCategory中选Libraries, 设置Inc. Libraries (-l):  rts55.lib

推荐使用方案二。

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值