OC8051内部逻辑分析(1)

采用数据流的方式进行OC8051内部的逻辑分析,需要首先理解其存储器架构,然后追踪程序到再到数据流。

  前面有提到过,OC8051程序和数据存储器逻辑分离,在物理上,其可能有4种存储器,分别为内部程序存储器irom,内部数据存储器iram,外部程序存储器器xrom,外部数据程序存储器xram,其中访问xram和访问iram的指令不同(mov、movx,并要通过DPTR寄存器)。OC8051使用oc8051_defines.v控制所采用的存储结构,oc8051_defines.v部分代码如下:

  

 1 //
 2 // oc8051 ITERNAL ROM
 3 //
 4 `define OC8051_ROM
 5 
 6 
 7 //
 8 // oc8051 memory
 9 //
10 //`define OC8051_CACHE
11 //`define OC8051_WB
12 
13 //`define OC8051_RAM_XILINX
14 //`define OC8051_RAM_VIRTUALSILICON
15 `define OC8051_RAM_GENERIC
16 
17 
18 `define OC8051_XILINX_ROM

  `define OC8051_ROM:使用内部iROM,而外部xROM ,OC8051提供了3种可选的接口,1、CACHE;2、WISHBONE;3、内部信号线直通。

  irom和iram是属于8051的部分,xrom,xram则可以没有,在实际的实现上,irom和xrom只要有一个就行。OC8051提供了irom的一个FPGA(XILINX)实现和一个行为仿真模型,均在文件oc8051_rom.v里,通过`define OC8051_XILINX_ROM,使用XILINX的实现,否则使用的是通用的仿真模型。另外OC8051工程提供了一个工具可以将HEX文件转换为.v,这种转换的实现和`define OC8051_XILINX_ROM所选用的实现是一样的,其更新ROM中代码非常不方便;这和其采用的ROM模型有关,通过如下代码可以看出其采用的存储器模型:

 1 
  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值