自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(10)
  • 资源 (1)
  • 问答 (1)
  • 收藏
  • 关注

原创 Verilog中算数右移和逻辑右移

在Verilog中,>>>表示算数右移,将操作数右移a位,在左边空出来的位置补a位操作数的符号。逻辑右移,>>表示逻辑右移,将操作数右移a位,在zuo'b

2021-06-02 20:06:24 8910

原创 嵌入式RAM使用之ROM

设计要求:将一组固定的数据(三角波形表)存储在FPGA中使用IP核构建的片上ROM中,开发板上电后,系统开始从ROM中读出数据,将数据直接通过并口输出。通过使用Signal Tap II软件实时抓取并口上的数据,显示得到三角波形。然后使用Quartus Prime软件中提供的In-System Memory Content Editor工具在线更改ROM中的数据(将数据更改为正弦波形表),然后再次观察signal Tap II工具抓取到的波形数据。ROM在上电后需要进行初始化,这里支持HEX核MIF格式

2021-05-21 15:49:16 411

原创 UART串口接收模块设计

设计要求:实现FPGA接收其他设备通过UART协议发送过来的数据现象:

2021-05-13 20:32:26 596

原创 串口发送模块与验证

在当今的电子系统中,需要板内、板间或下位机与上位机之间进行数据的发送与接收,需要双方共同遵循一定的通信协议来保证数据传输的正确性。常见的协议有UART(Universal Asynchronous Receiver/Transmitter,通用异步收发传输器)、IIC(继承电路总线)、SPI(串行外围总线)、USB2.0/3.0(通用串行总线)以及Ethernet(以太网)等。最为基础的是UART。UART在数据发送时将并行数据转换成串行数据来传输,在数据接收时将接收到的串行数据转换成并行数据,可以实现

2021-05-12 08:50:34 410 1

原创 串行移位寄存器原理与结构分析

1 串转并功能,通过移位寄存器和输出锁存器实现2 FPGA需要通过74HC595这个芯片把16位的数据(sel+seg)变为并行端口用来驱动数码管3 3.3V供电情况下,取SHCP时钟频率位12.5MHz(50-20ns,25-40ns,12.5-80ns),让其每40ns翻转一次,也就是每两个CLK周期翻转一次上图所对应的代码段 parameter CNT_MAX = 2; reg [7:0]divider_cnt; always@(posedge Clk or negedge

2021-05-05 22:05:56 2514

原创 数码管动态扫描设计与验证

1 实验现象:在Quartus II中,使用In system sources and probes editor工具,输入需要显示在数码管上的数据,则数码管显示对应数值2 知识点:(1)数码管动态扫描 (2)In system sources and probes editor(ISSP)调试工具的使用(a)4输入查找表,8位输出 (b)分频模块,从系统时钟分频得到1KHz的扫描时钟 (c)8选1多路器,选择端为当前扫描的数码管位置 (d)8位循环移位寄存器sel0=0000_0001,...

2021-05-04 15:01:06 466

原创 按键消抖

(1)单Bit数据的异步信号同步 (2)边沿检测 (3)计数器模块设计(4)在仿真测试激励文件中引入随机数发生函数(1)常见的同步方法使用两级触发器,使用触发器对信号打两拍的方式进行与系统时钟同步上述电路用verilog语言描述出来为reg key_in_a,key_in_b;always@(posedge Clk or negedge Rst_n)if(!Rst_n)begin key_in_a <= 1'b0; key_in_b <= 1'b0;endelse

2021-05-01 15:00:39 322

原创 状态机

状态机分为摩尔(Moore)型有限状态机和米利(Mealy)型有限状态机。摩尔型电路:输出与输入变量无直接关系的时序逻辑电路。米利型电路:输出与输入变量直接相关的时序逻辑电路。状态机描述方式可分为一段式、两段式和三段式的。但目前我只用到一段式,后面再更新两段式和三段式。编写状态机的注意事项:(1)为了避免不必要的锁存器生成,需要穷举所有状态对应的输出动作,或使用default来定义状态动作;(2)在定义状态时,推荐使用本地化参数定义localparam下面一段代码,状态的跳转还是不能..

2021-04-29 15:42:28 258

原创 计数器及计数器IP核

计数器的设计与验证要注意的一个点:将counter_ip.v设为顶层`timescale 1ns/1ns`define clk_period 20module counter_ip_tb; reg cin; reg clk; wire cout; wire [3:0]q; counter_ip counter_ip0( .cin(cin), .clock(clk), .cout(cout), .q(q) ); initial clk=1; alw

2021-04-28 16:28:10 271

原创 计数器驱动LED闪烁

要求:使LED按照1秒钟的速率进行翻转需要注意的点:1.仿真时间太长,1秒钟大概要跑好几分钟才能跑完,可通过缩短要求时间的方式来加快仿真速度。2.1ms的话,计数器要计数多少次?若频率为50MHz,则时钟周期为20ns,1ms=1000000ns,所以cnt=1000000/20-1=499993.按2进行,则计数器的位宽为多少?49_999的十六进制为C34F,二进制为16位,则位宽为[15:0]http://www.corecourse.cn/forum.php?mod=viewth

2021-04-28 11:22:06 174

大唐杯LTE有关知识

大唐杯”全国大学生移动通信技术大赛是通信领域拥有优势地位的大学生学科竞赛,是一个以大学生为主体参与者的全国性学术及工程型的创新竞赛项目。 大赛专业范围包括通信原理、TD-SCDMA技术原理、TD-LTE技术原理、TD-SCDMA无线网络设备操作、TD-LTE网络设备操作、TD-SCDMA网络优化、TD-LTE网络优化等多个内容。

2018-03-12

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除