求用VHDL语言24进制可逆计数显示译码器

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity led_24 is port(clk,clr,ena:in std_logic; cq10_out,cq2_out:out std_logic_vector(3 downto 0) ); end led_24;architecture behav of led_24 is component led24 port(clk,clr,ena:in std_logic; cnt10,cnt2:out std_logic_vector(3 downto 0)); end component; component decoder_10 port(cq10_1:IN STD_LOGIC_VECTOR(3 DOWNTO 0); cq10_out:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); end component; component decoder_2 port(cq2_1:IN STD_LOGIC_VECTOR(3 DOWNTO 0); cq2_out:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); end component;signal net1,net2:std_logic_vector(3 downto 0); begin u1:led24 port map(clk=>clk,clr=>clr,ena=>ena,cnt10=>net1,cnt2=>net2); u2:decoder_10 port map(cq10_1=>net1,cq10_out=>cq10_out); u3:decoder_2 port map(cq2_1=>net2,cq2_out=>cq2_out);END architecture behav;

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值