计算机组成实验-实验RIJ型的CPU设计

计算机组成实验(十)–实现RIJ型的CPU设计

因为在网上找到的相关实验顺序都是直接从代码开始,后来才开始介绍IP核,当时把我搞得一头雾水,个人认为先准备好初始化IP核要的数据文件,再开始写代码节奏会更好一点。所以写了这篇博客,记录从头到尾的实验顺序,方便大家学习。

实验目的

1、掌握MIPS R-I-J型指令的数据通路设计,掌握指令流和数据流的控制方法。
2、掌握完整的单周期CPU顶层模块的设计方法。
3、实现MIPS R-I-J型指令功能。

实验原理

R型指令集
在这里插入图片描述
I型指令集
在这里插入图片描述
J型指令集
j,jal均为无条件跳转指令。
j操作结果:{(PC+4)高四位,address(26位),2b’00(两位二进制00)} -> PC
jal操作结果:在执行完上述指令 j 的过程后,PC+4 -> $R31 (再将下一条指令地址指向31寄存器)
在这里插入图片描述
R-I-J型指令数据通路
采用哈佛结构(一个指令存储器和一个数据存储器)。
通常执行一条指令的流程是:根据PC(存放指令地址)到指令存储器里取地址 -> 取出地址后进行指令译码(译码及控制单元)-> 寄存器取数据 -> ALU运算 -> 送结果。
在这里插入图片描述

实验环境

操作系统:Win10
所用软件:ISE DesignSuite14.7、pcspim

操作步骤

本实验默认读者已经明白MIPS R-I-J型CPU的基本原理,旨在对实验的复刻进行指导。
1、指令测试,将内存0开始的10个数据复制到20号单元开始的数据。在任意位置处创建文本文件test.asm(这段汇编代码,计组实验指导书上面有),以便得到初始化指令寄存器的机器码,这些机器码可以保存在lnst.coe文件(自建一个文件)里。
在这里插入图片描述

main:
add $a0,$zero,$zero
addi $a1,$zero,20;
addi $a2,$zero,10;
jal BankMove
BankMove:
    add $t0,$a0,$zero;
    add $t1,$a1,$zero;
    add $t2,$a2,$zero;
Loop1:lw $t3,0($t0);
      sw $t3,0($t1);
      addi $t0,$t0,1;
      addi $t1,$t1,1;
      addi $t2,$t2,-1;
      bne $t2,$zero,Loop1;
      jr $ra

2、准备初始化指令存储器的文件lnst.coe。
用pcspim软件(下载链接:上百度网盘链接)执行上面的汇编代码文件,直接在pcspim主页的file中选取test.asm文件即可,执行完毕后,将如图所示的红框区域内的机器码复制下来保存到自建的lnst.coe文件里。
在这里插入图片描述
注:因为计算机只认识01比特串,所以借助pcspim将需要执行的汇编代码对应到机器能识别的二进制机器码,即可执行对应功能,在本实验中体现为lnst.coe文件初始化指令寄存器后,系统将会依次从指令寄存器中取指令并执行,从而实现步骤1中的指令测试。
lnst.coe文件内容如下:

memory_initialization_radix=16;
memory_initialization_vector=00002020,20050014,2006000a,0c100013,00804020,00a04820,00c05020,8d0b0000,ad2b0000,21080004,21290004,214affff,1540fffa,03e00008;

3、准备初始化数据存储器的文件data.coe
数据存储器里面的数据一般在读取内存时才会用到,所以随便填充。

memory_initialization_radix=16;
memory_initialization_vector=88888888,99999999,00010fff,20006789,FFFF0000,0000FFFF,88888888,99999999,
aaaaaaaa,bbbbbbbb,00000820,00632020,00010fff,20006789,FFFF0000,0000FFFF,88888888,99999999,aaaaaaaa,bbbbbbbb,00000820,00632020,00010fff,
20006789,FFFF0000,0000FFFF,88888888,99999999,aaaaaaaa,bbbbbbbb,00000820,00632020,00010fff,20006789,FFFF0000,0000FFFF,88888888,99999999,aaaaaaaa,bbbbbbbb,
00000820,00632020,00010fff,20006789,FFFF0000,0000FFFF,88888888,99999999,aaaaaaaa,bbbbbbbb,12345678,23456789,3456789a,6789abcd;

4、创建ip核(ISE DesignSuite14.7)
首先创建指令存储器的ip核。
在工程目录下任意位置右键,选择new source,在弹出的对话框中选择IP,并命名为rom(指令存储器为只读存储器)。
在这里插入图片描述
next,接着选择如图所示的内容
在这里插入图片描述
next,finish,创建rom.xco需要几分钟,耐心等待。

上述步骤完成后,进入Memory IP核参数设置。前两页默认设置,点击next。
第三页配置为如下图所示(读优先,也可以写优先,width=32,depth=64,代表指令字长为32,指令存储器共能存储64条指令):
在这里插入图片描述
第四页配置为如下图所示(点击Browse,选择刚才创建的lnst.coe文件,点击show查看是否正确加载文件):
在这里插入图片描述
接着直接点击generate即可,生成ip核的过程需要几分钟,耐心等待。
生成完毕后,就会出现小灯泡样式的文件。
数据存储器的ip核生成过程类似,在选择存储器类型时选择ram(因为数据存储器可读可写),第四页配置为data.coe,接着generate即可。

5、代码实现

module R_I_J_CPU(	//顶层模块,将各个部件通过函数调用联系起来,组成数据通路
	clk,rst,clk_m,
    Inst_code,PC,
    opcode,rs,rt,rd,sham
  • 1
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值