自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

HDU-整蛊小子-⏰☂️/蚂蚁集团研发工程师

HDU-整蛊小子-⏰☂️/蚂蚁集团研发工程师

  • 博客(38)
  • 资源 (8)
  • 收藏
  • 关注

转载 Jupyter Notebook介绍、安装及使用教程

转载自

2020-09-18 20:36:39 408

原创 杭电编译原理实验-实验三-LL(1)语法分析实验

LL1语法分析实验实验目的实验内容函数定义程序流程图源代码测试用例实验目的了解 LL(1)语法分析是如何根据语法规则逐一分析词法分析所得到的单词,检查语法错误,即掌握语法分析过程。掌握LL(1)语法分析器的设计与调试。实验内容针对CP语言中简单算术表达式文法G[E]:   E→TE’   E’→ATE’|ε   T→FT’   T’→MFT’ |ε   F→(E) | i   A → + | -   M → * | /  求解相应的FIRST、FOLLOW集,构造预测分析表,并

2020-07-24 10:16:25 4029

原创 杭电编译原理实验-实验二-递归下降分析子程序设计

递归下降分析子程序设计实验目的实验内容函数定义程序流程图源代码测试用例实验目的  掌握最基本的自顶向下分析方法,即递归下降子程序方法,理解其特点和适用范围(回溯,左递归等现象),锻炼递归调用程序的构造方法。实验内容 给定CP语言中简单算术表达式文法G[E]:    E→TE’    E’→ATE’|ε    T→FT’    T’→MFT’ |ε    F→(E) | i    A → + | -    M → * | / 根据该文法,编写递归下降分析子程序。【说明】 终结符号i

2020-07-24 10:06:59 3343 4

原创 杭电编译原理实验-实验一-词法分析程序设计

词法分析程序设计实验目的实验内容函数定义程序流程图源代码实验目的  设计、编制并调试一个简单语言CP(Compiler Principle)的词法分析程序,加深对词法分析原理的理解。实验内容CP语言的词法(1) 关键词: begin end if then else for while do and or not  注意:所有关键词都是小写的。(2) 标识符ID,与标准C语言一致,即:以下划线或字母开头的字母数字下划线组成的符号串。(3)无符号整数NUM:数字串(

2020-07-24 09:56:20 4252 6

原创 杭电数据结构课程实践-哈密顿图的判断

哈密顿图的判断需求分析详细设计程序流程图测试数据需求分析  经过图中的每个顶点一次且仅一次的通路称为哈密顿通路,经过图中每个顶点一次且仅一次的回路称为哈密顿回路,具有哈密顿回路的图称为哈密顿图,具有哈密顿通路但不具有哈密顿回路的图称为半哈密顿图。哈密顿图是关于连通图的问题,在邮路问题、旅行问题、售货问题等都有较好的应用价值。  判断哈密顿图的充要条件是图论中尚未解决的难题,但应用图的深度优先搜索策略却能描述一个判断哈密顿图是否存在的算法。借助辅助工作栈,初始所有顶点均设置为未被访问状态false,计数

2020-07-23 16:43:37 2790 2

原创 杭电数据结构课程实践-车厢调度

车厢调度需求分析概要设计详细设计程序流程图需求分析  一列货运列车共有n节车厢,每节车厢将停放在不同的车站。假定n个车站的编号(由远到近)依次为1-n,即货运列车按照第n站至第1站的次序经过这些车站。为了便于从列车上卸掉相应的车厢,车厢的编号应与车站的编号相同,使各车厢从前至后按编号1-n的次序排序,这样,在每个车站只需卸掉最后一节车厢即可。因此,需要对给定任意次序的车厢进行重新排列。  实现车厢重新排列的目的,可以通过转轨站来完成,在转轨站中有一个入轨、一个出轨和k个缓冲轨,缓冲轨位于入轨和出轨之间

2020-07-23 16:36:08 2259

原创 杭电数据结构课程实践-重言式判别

重言式判别需求分析概要设计详细设计调试分析测试数据及用户手册附录需求分析  一个逻辑表达式如果对于其变元的任一种取值都为真,则称重言式;反之,如果对于其变元的任一种取值都为假,则称矛盾式;其他情形称为可满足式。编写程序,判断逻辑表达式属于哪种情形。概要设计二叉树的抽象数据类型定义如下:ADT BinaryTree{数据对象D:D是具有相同特性的数据元素的集合。数据关系R:若D=∅,则R=∅,称BinaryTree为空二叉树;若D≠∅,则R={H},H是如下二元关系;(1)在D中存在唯一的

2020-07-23 16:11:37 3710 5

原创 杭电数据结构课程实践-约瑟夫环

约瑟夫环需求分析概要设计详细设计调试分析测试数据及用户手册附录需求分析  约瑟夫环问题的一种描述是:编号为1,2,3,……,n的n个人按顺时针方向围坐一圈,每个人持有一个密码(正整数),一开始任选一个正整数作为报数值m,从第一个人开始按顺时针方向自1开始顺序报数,报到m时停止报数,报m的人出列,将他的密码作为新的m值,从他在顺时针方向上的下一个人开始重新从1报数,如此下去,直至所有人全部出列为止,试设计一个程序求出出列顺序。【设计要求】(1)采用双向循环链表存储结构,描述线性表的抽象数据类型。(2

2020-07-23 15:58:09 1047

原创 杭电Matlab与仿真-MATLAB基本语法-综合设计

一只失明的小猫不行掉进山洞里,山洞里有三个门,一个门进去后走2小时可以回到地面,从第二个门进去后走4小时又回到出发点,不幸的是从第三个门进去后走6小时还是回到出发点。小猫每次都是随机选择其中一个门走。用matlab编写模拟小猫n次出洞时间的函数,该函数返回的结果T为n次出洞时间组成的数组。function T = cat(n)T = zeros(1,n);for k = 1:n c = unidrnd(3,1); while c ~= 1 if c == 2 .

2020-07-23 15:25:49 2112

原创 杭电Matlab与仿真-MATLAB基本语法(九)-函数的数值积分与微分

函数的数值积分与微分实验目的实验内容与要求实验程序与结果实验目的掌握函数的数值积分;掌握函数的数值微分;能够运用函数的积分与微分解决实际问题。实验内容与要求掌握梯形法、Simpson法等函数积分的方法;掌握函数的符号积分;掌握函数的二重积分方法;掌握用差分函数diff()和用polyder()函数微分的方法;掌握一般区域二重积分的方法。实验程序与结果P123.3clear;clc;x=[1.0,1.1,1.2,1.3,1.4];y=[0.25,0.2268,0.2

2020-07-23 15:12:05 4175 2

原创 杭电Matlab与仿真-MATLAB基本语法(八)-匿名函数

匿名函数实验目的实验内容与要求实验程序与结果实验目的掌握函数的表示与计算;掌握函数绘制的方法;认识函数的极点以及零点分析的方法。实验内容与要求熟悉内联函数,掌握子函数、匿名函数的表示方法;掌握fplot()、ezplot()函数的运用方法并能够进行函数图像的绘制;掌握fminbnd()、fminsearch()、 fzero()等函数的运用,能够对函数的零点和极点进行分析;能够运用匿名函数解决各种实际问题。实验程序与结果对于a=[0,0.01,0.02,…2],求下列方程响应

2020-07-23 15:04:07 3604

原创 杭电Matlab与仿真-MATLAB基本语法(七)-数据分析与统计

多项式运算实验目的实验内容与要求实验程序与结果实验目的掌握数据的基本操作;认识协方差与相关系数;认识有限差分。实验内容与要求掌握matlab中数据的基本操作,能够运用一系列函数解决基本数学问题;认识协方差与相关系数,能够进行基本的协方差以及相关系数的运算;认识有限差分,能够求元素之差并进行数值梯度的运算。实验程序与结果已知矩阵 1.1 求解矩阵M的各行和 1.2 求解矩阵M的各列和 1.3 求解矩阵M的主对角元素和 1.4 求解矩阵M的斜对角元素和 1.5

2020-07-23 14:54:35 3124 2

原创 杭电Matlab与仿真-MATLAB基本语法(六)-多项式运算

多项式运算实验目的实验内容与要求实验程序与结果实验目的掌握多项式表示及四则运算;掌握多项式求导、求根、求值的方法;掌握多项式拟合与插值的运用。实验内容与要求掌握用Matlab表示多项式的方法,能对多项式进行基本四则运算;掌握对多项式进行求导、求根、求值的方法,熟练地运用各种运算函数;认识多项式的拟合与插值,并能够对其区别进行区分,能通过拟合和插值的运用来解决一些简单的问题实验程序与结果P122 1clear;clc;x=[446,714,950,1422,1634];

2020-07-23 14:38:58 2661

原创 杭电Matlab与仿真-MATLAB基本语法(五)-矩阵分析

矩阵分析实验目的实验内容与要求实验程序与结果实验目的掌握基本的2*2矩阵变换;掌握矩阵分析的方法。实验内容与要求认识基本的线性变换矩阵及随机线性变换矩阵;掌握绘制出自己手型的方法;掌握各种基本的矩阵分析方法;能够运用矩阵分析解决问题。实验程序与结果给自己的手做变换。采集自己的手型图,绘制出该图的镜像图。所用函数function dot2dot(X) X(:,end+1) = X(:,1); plot(X(1,:),X(2,:),'.-','markersize',18

2020-07-23 11:11:13 2345

原创 杭电Matlab与仿真-MATLAB基本语法(四)-函数编写与调试

函数编写与调试实验目的实验内容与要求实验程序与结果实验目的认识函数文件及其编写并学会函数的调用;认识全局变量与局部变量的关系;掌握函数调试的方法。实验内容与要求认识函数文件,了解函数文件与脚本文件的区别;掌握函数文件的基本编写方法;掌握函数的调用以及子函数的嵌套;掌握全局变量与局部变量的定义方法;掌握函数的基本调试方法。实验程序与结果定义一个函数,当输入1个参数求其绝对值,输入2个参数求其平方和的算术平方根。function y = nar(a,b)

2020-07-23 11:02:48 1357 1

原创 杭电Matlab与仿真-MATLAB基本语法(三)-基本绘图

基本绘图实验目的实验内容与要求实验程序与结果实验目的学会matlab基本绘图操作;熟悉matlab基本绘图程序,了解常用绘图功能;掌握matlab基本语法中关于二维绘图、多次叠绘、双纵坐标和多子图绘制、三维线图以及三维曲面绘图的语句编写。实验内容与要求掌握plot指令及基本二维绘图操作;掌握hold、plotyy、subplot等指令,能够进行多次叠绘、双纵坐标和多子图绘制等操作;熟悉绘制图形的辅助操作及视角的切换;掌握plot、mesh、surf指令的运用,能够绘制三维曲线、三维网

2020-07-23 10:29:11 1209

原创 杭电Matlab与仿真-MATLAB基本语法(二)-数据文件的读/写操作

数据文件的读/写操作实验目的实验内容与要求实验程序与结果实验目的学会matlab数据输入与输出基本操作;熟悉matlab各种文件的输入输出方法,了解常用的图片及音频文件的输入输出;掌握用逆矩阵求解线性方程组的方法。实验内容与要求了解掌握M文件及其建立与打开;掌握顺序结构的运用;掌握选择结构的运用(if、switch、while、for等语句)实验程序与结果给定两个excel文件,一个是“matlab课学生名单.xlsx”,一个是“需要剔除学生名单.xlsx”,请用matlab实

2020-07-23 10:08:37 2068

原创 杭电Matlab与仿真-MATLAB基本语法(一)

MATLAB基本语法(一)实验目的实验内容与要求实验程序与结果应用题实验目的学会matlab基本操作;熟悉matlab编程环境,了解常用菜单功能;掌握matlab基本语法中关于变量及其赋值、运算符与数学表达式、控制流语句编写。实验内容与要求了解掌握M文件及其建立与打开;掌握顺序结构的运用;掌握选择结构的运用(if、switch、while、for等语句)实验程序与结果 P77 例1x=input('please input x\n');y=x^3+(x-0.98)^2/(x+

2020-07-23 09:57:40 1154

原创 杭电数字电路课程设计-实验十八-数码管扫描显示实验

数码管扫描显示实验目的实验要求实验原理程序代码测试用例仿真波形图电路管脚图引脚配置文件数码管说明实验目的学习数码管的显示原理,掌握数码管的动态扫描方法。掌握灵活运用Verilog HDL 语言进行各种描述与建模的技巧和方法。实验要求使用合适的描述方式编程实现规定功能的数码管扫描显示模块。课前任务:在Xilinx ISE上完成创建工程、编辑程序源代码、编程、综合、仿真、验证,确保逻辑正确性。实验室任务:配置管脚,生成*.bit文件,完成板级验证。撰写实验报告。实验原理数码管扫描显示

2020-07-22 14:54:13 8298 22

原创 杭电数字电路课程设计-实验十七-流水灯实验

定时与分频实验实验目的实验要求实验原理程序代码测试用例仿真波形图电路管脚图引脚配置文件实验目的熟悉掌握开关和显示灯作为输入和输出设备进行各种实验的方法。掌握灵活运用Verilog HDL 语言进行各种描述与建模的技巧和方法。实验要求使用合适的描述方式编程实现规定功能的流水灯模块。课前任务:在Xilinx ISE上完成创建工程、编辑程序源代码、编程、综合、仿真、验证,确保逻辑正确性。实验室任务:配置管脚,生成*.bit文件,完成板级验证。撰写实验报告。实验原理流水灯的实现方法: 

2020-07-22 14:42:19 7445 7

原创 杭电数字电路课程设计-实验十六-定时与分频实验

定时与分频实验实验目的实验要求实验原理程序代码测试用例仿真波形图电路管脚图引脚配置文件实验目的掌握计算机中实现定时的基本方法,理解定时、频率和计数之间的关系掌握分频器的原理和实现方法掌握灵活运用VerilogHDL语言进行各种描述与建模的技巧和方法实验要求使用合适的描述方式实现定时与分频模块。课前任务:在Xilinx ISE上完成创建工程、编辑程序源代码、编程、综合、仿真、验证,确保逻辑正确性。实验室任务:配置管脚,生成*.bit文件,完成板级验证。撰写实验报告。实验原理分频器

2020-07-22 14:21:08 5374 14

原创 杭电数字电路课程设计-实验十四-基本寄存器设计实验

基本寄存器设计实验目的实验要求实验原理程序代码测试用例仿真波形图电路管脚图引脚配置文件实验目的学习寄存器的原理与设计方法;掌握灵活运用Verilog HDL语言进行各种描述与建模的技巧和方法。实验要求使用合适的或者你喜欢的描述方式,编程实现4D寄存器课前任务:在Xilinx ISE上完成创建工程、编辑程序源代码、编程、综合、仿真、验证,确保逻辑正确性。实验室任务:配置管脚,将输入数据信号D[3:0]、控制信号OE#、CLR和CLK信号连接到开关上,注意CLK要接到经过硬件消抖的开关上,将

2020-07-22 11:18:56 3915 8

原创 杭电数字电路课程设计-实验十-JK触发器设计实验

JK触发器设计实验目的实验要求实验原理程序代码电路管脚图引脚配置文件实验目的学习JK触发器的原理与设计方法;掌握灵活运用Verilog HDL语言进行各种描述与建模的技巧和方法。掌握时序电路设计方法,以及时钟的处理。实验要求使用合适的或者你喜欢的描述方式,编程实现规定特性的JK触发器;课前任务:在Xilinx ISE上完成创建工程、编辑程序源代码、编程、综合、仿真、验证,确保逻辑正确性。实验室任务:配置管脚,将输入CLK、J和K连接到3个开关上,注意CLK要接到经过硬件消抖的

2020-07-22 11:02:29 6052 5

原创 杭电数字电路课程设计-实验八-基本RS触发器设计实验

基本RS触发器设计实验目的实验要求实验原理程序代码测试用例仿真波形图电路管脚图引脚配置文件实验目的学习基本RS触发器的原理与设计方法;掌握灵活运用Verilog HDL语言进行各种描述与建模的技巧和方法。实验要求使用合适的或者你喜欢的描述方式来实现基本RS触发器;当RS=11时,选择输出00或11中的一种输出组合。课前任务:在Xilinx ISE上完成创建工程、编辑程序源代码、编程、综合、仿真、验证,确保逻辑正确性。实验室任务:配置管脚,将输入R和S连接到2个开关上,将输出Q和Q#连接到

2020-07-22 10:42:43 6167

原创 杭电数字电路课程设计-实验四-二进制优先级编码器设计实验

五输入表决器设计实验目的实验要求实验原理程序代码测试用例仿真波形图电路管脚图引脚配置文件实验目的学习二进制优先级编码器的原理与设计方法掌握灵活运用Verilog HDL语言进行各种描述与建模的技巧和方法实验要求使用合适的表述方式实现3位二进制优先级编码器。课前任务:在Xilinx ISE上完成创建工程、编辑程序源代码、编程、综合、仿真、验证,确保逻辑正确性。实验室任务:配置管脚,生成*.bit文件,完成板级验证。撰写实验报告。实验原理二进制优先级编码器真值表  当使能信号输入

2020-07-22 10:27:41 4760 2

原创 杭电数字电路课程设计-实验一-五输入表决器设计实验

五输入表决器设计实验目的实验要求实验原理程序代码测试用例仿真波形图电路管脚图引脚配置文件实验目的认识Nexys3教学开发板的硬件,熟悉其各个硬件接口。熟悉Xilinx ISE 集成开发环境,掌握创建工程、调试、仿真、下载、硬件测试的方法,熟悉各个实验环节和完整开发流程。学习使用与、或、非等基本逻辑们进行电路设计,掌握Verilog HDL语言的结构建模方法。实验要求使用门级描述来实现五输入表决器。课前任务:在Xilinx ISE上完成创建工程、编辑程序源代码、编程、综合、仿真、验证,确保

2020-07-22 10:19:23 6678 5

原创 杭电计算机组成原理课程设计-实验期末考试

实验期末考试考试题目代码测试用例考试题目代码注: 下列所示代码与题目可能有些出入,xor,sub等功能在作者之前的文章中均已经实现,可见之前文章 R-I CPU设计实验。本代码主要侧重 lw_inc 功能的实现,主要通过修改REG模块,在其中加入一个控制信号以实现rs加1的功能。module R_I_CPU( clk,rst,clk_m, Inst_code,PC, opcode,rs,rt,rd,shamt,func,imm,offset, ALU_F,ZF,OF,ALU_

2020-07-22 09:16:17 1397 1

原创 杭电计算机组成原理课程设计-实验十二-实现R-I型指令的CPU设计实验

R-I CPU 设计实验实验内容实验原理汇编指令与.coe文件逻辑引脚图仿真时序波形图R-I CPU 完整代码测试用例代码实验内容设计一个MIPS单周期R-I CPU实验原理实验流程图R-I CPU 控制信号大全汇编指令与.coe文件汇编指令设计表格地址机器代码汇编指令执行结果[0x00400000]0x38011234xori $1, $0, 0x1234$1=0000_1234[0x00400004]0x20020004addi $2, $0,

2020-07-22 09:05:11 3793 4

原创 杭电计算机组成原理课程设计-实验十三-实现R-I-J型指令的CPU设计实验

R-CPU 设计实验实验内容实验原理汇编指令与.coe文件功能模块说明逻辑引脚图仿真时序波形图(以beq-J组合为例)![在这里插入图片描述](https://img-blog.csdnimg.cn/20200721212109219.png?x-oss-process=image/watermark,type_ZmFuZ3poZW5naGVpdGk,shadow_10,text_aHR0cHM6Ly9ibG9nLmNzZG4ubmV0L3dlaXhpbl80Mzk3MTcxMA==,size_16,col

2020-07-21 21:29:53 4649 2

原创 杭电计算机组成原理课程设计-实验十一-实现R型指令的CPU设计实验

实现R型指令的CPU设计实验实验内容实验内容与原理汇编指令与.coe文件功能模块说明逻辑引脚图仿真时序波形图R-I CPU 完整代码测试用例代码探索与思考(非标准答案)实验内容选以下4种系统结构之一,设计一个MIPS单周期R-CPU(1)不带状态寄存器,8条指令(2)带状态寄存器,8条指令(3)不带状态寄存器,9条指令(多了sll)(4)带状态寄存器,9条指令(多了sll)本文选取第4种结构进行设计具体步骤修改寄存器堆模块,以使$0 内容恒置全零,只读。根据所选指令系统,修改ALU模块

2020-07-21 20:07:29 7133

原创 杭电计算机组成原理课程设计-实验九-MIPS汇编器与模拟器实验

MIPS汇编器与模拟器实验PCspim下载与安装PCspim使用教程备注PCspim下载与安装PCspim下载点此进入SPIM软件下载地址下载完成后,解压文件包。双击setup.exe 文件即可安装PCspim使用教程编写.asm文件在txt文件中写入汇编代码,文件后缀改为.asm,如下所示main:nor $t1,$0,$0 #R9 (t1) = ffffffffsltu $t2, $0, $t1 #R10 (t2) = 00000001sub $t3, $t1, $t2

2020-07-21 15:37:51 4134

原创 杭电计算机组成原理课程设计-实验十-取指令与指令译码实验

取指令与指令译码实验实验内容实验原理图.coe文件内容逻辑管脚图模块代码仿真代码仿真波形图实验内容1)在ISE中使用Memory IP核生成一个只读存储器Inst_ROM,作为指令存储器,并关联一个.coe文件。2)编程实验取指令模块,调用Inst_ROM指令存储器模块。3)编写一个实验验证的顶层模块。实验原理图.coe文件内容memory_initialization_radix=16;memory_initialization_vector=00004827,0009502b,012

2020-07-21 14:49:27 4788

原创 杭电计算机组成原理课程设计-ISE_IP核生成教程

hellp

2020-07-20 21:21:14 4928

原创 杭电计算机组成原理课程设计-实验八-存储器设计实验

存储器设计实验实验内容.coe文件内容MEM模块代码测试用例实验结果记录仿真波形图实验内容1.生成一个RAM_B存储器模块,关联文件中输入64个32位数据,用16进制表示。2.调用寄存器堆模块和存储器模块,编写一个顶层模块,完成寄存器和存储器之间数据的传送。3.调用基本ALU 模块、寄存器堆模块和存储器模块,编写一个顶层模块,完成  lw rt, offset(rs); //取数:(rs+offset) -> rt  sw rt, offset(rs); //存数:rt ->

2020-07-20 20:45:57 6373 3

原创 杭电计算机组成原理课程设计-实验七-寄存器堆设计实验

寄存器堆实验实验原理实验内容模块功能说明模块逻辑引脚图寄存器堆模块代码REG模块测试用例REG-ALU测试用例实验结果记录 寄存器堆实验结果及分析ALU_REG运算器模块实验结果及分析探索与思考实验原理  32×32位的寄存器堆模块示意图如图所示,含有32个寄存器,每个寄存器32位。该寄存器堆具有A和B两个读端口,分别由 5 位的寄存器编号 R_Addr_A 和 R_Addr_B 来寻址 2 个寄存器,读出的数据则由 R_Data_A(32 位)和R_Data_B(32 位)输出。读访问时,没有使能或者

2020-07-20 19:56:59 13448 8

原创 杭电计算机组成原理课程设计-实验六-多功能ALU运算器设计实验

多功能ALU运算器设计实验实验内容模块说明ALU模块代码(带CF,SF,PF版本)ALU模块代码(不带CF,SF,PF版本)测试用例代码逻辑引脚图仿真截图思考与探索实验内容  本实验要求设计一个具有16种运算功能的32位ALU,并能够产生运算结果的标志:结果为零标志ZF(Zero Hag)和溢出标志OF(Overflow Flag)。ALU通过4根控制线ALU_OP[3:0]来选择其16种运算功能。仿真测试,要求测试用例能完整测试各种功能及标志位的不同结果。ALU_OP[3:0]ALU功能

2020-07-20 15:58:16 10599 4

原创 杭电计算机组成原理课程设计-实验三-超前进位电路实验

超前进位电路实验实验内容程序代码测试用例仿真波形图实验内容调用一位二进制全加器模块和4位并行进位模块,设计一个4位并行进位加法器模块,并仿真测试,给出时序图程序代码module bingxing (output [3:0] F, output c4, input [3:0] A, input [3:0] B, input c0); wire [3:0] G, P; wire [4:0] C; assign C[0]=c0; PalC palc

2020-07-20 14:42:13 2046 3

原创 杭电计算机组成原理课程设计-实验二-全加器实验

实验内容设计一个一位二进制全加器模块设计一个4位二进制并行进位模块设计一个一位二进制全加器模块module qjq(A,B,CIN,COUT,F); input A,B,CIN; output F,COUT; assign F=A^B^CIN; assign COUT=A&B|((A^B)&&CIN);endmodule设计一个4位二进制并行进位模块module PalC (output [3:0] G, output [3:0] P, output [4:1

2020-07-20 14:26:26 2344

杭电数字电路课程设计-实验十八-数码管扫描显示实验

杭电数字电路课程设计-实验十八-数码管扫描显示实验 内含包括代码,仿真,引脚配置全套文件,可直接打开工程

2020-11-17

杭电数字电路课程设计-实验十七-流水灯实验

杭电数字电路课程设计-实验十七-流水灯实验 内含包括代码,仿真,引脚配置全套文件,可直接打开工程///

2020-11-17

杭电数字电路课程设计-实验十六-定时与分频实验

杭电数字电路课程设计-实验十六-定时与分频实验 内含包括代码,仿真,引脚配置全套文件,可直接打开工程

2020-11-17

杭电数字电路课程设计-实验十四-基本寄存器设计实验

杭电数字电路课程设计-实验十四-基本寄存器设计实验 内含包括代码,仿真,引脚配置全套文件,可直接打开工程

2020-11-17

杭电数字电路课程设计-实验十-JK触发器设计实验

杭电数字电路课程设计-实验十-JK触发器设计实验 内含包括代码,仿真,引脚配置全套文件,可直接打开工程

2020-11-17

杭电数字电路课程设计-实验八-基本RS触发器设计实验

杭电数字电路课程设计-实验八-基本RS触发器设计实验 内含包括代码,仿真,引脚配置全套文件,可直接打开工程

2020-11-17

杭电数字电路课程设计-实验四-二进制优先级编码器设计实验

杭电数字电路课程设计-实验四-二进制优先级编码器设计实验 内含包括代码,仿真,引脚配置全套文件,可直接打开工程

2020-11-17

杭电数字电路课程设计-实验一-五输入表决器设计实验

杭电数字电路课程设计-实验一-五输入表决器设计实验 内含包括代码,仿真,引脚配置全套文件,可直接打开工程

2020-11-17

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除