计算机组成原理 ALU实现8位二进制数的运算

 alu.v
1、ALU的电路图如下所示,假设ALU能够实现两个8位二进制数的7种运算:清零、加、减、自加、自减、与、或。
 


2、ALU端口信号说明如下:
	result:8位,输出,运算结果
	data1:8位,输入,参与运算的操作数1
	data2:8位,输入,参与运算的操作数2
	op:3位,输入,操作控制信号,说明如下:
	op=000:清零,result=0;
	op=001:加法,result=data1+data2;
	op=010:减法,result=data1-data2;
	op=011:自加,result=data2+1;
	op=100:自减,result=data2-1;  
	op=101:与,  result=data1&data2;
	op=110:或,  result=data1|data2;
3、在新建的Verilog1.v文件中输入实现ALU的代码:
//实现清零、加、减、自加、自减、与、或运算
module alu(data1,data2,op,result);
input [7:0]data1,data2;
input[2:0]op;	//可实现8种运算
output reg[7:0] result;
always@(data1 or data2 or op)
	case(op)
		3'b000:	result = 8'b00000000;
		3'b001:	result = data1 + data2;
		3'b010:	result = data1 - data2;
		3'b011:  result =	data2 + 8'b00000001;
		3'b100:	result = data2 - 8'b00000001;
		3'b101:	result = data1 & data2;
		3'b110:	result = data1 | data2;
		default:result = 8'bx;
	endcase
endmodule

testbench文件

`timescale 1ns/1ns
module alu_tb();
reg [7:0] data1,data2;
reg [2:0] op;
wire[7:0]result;
alu malu(.data1(data1),.data2(data2),.op(op),.result(result));
initial
begin
	op=0;
	data1=8'd23;
	data2=8'd34;
end
initial
begin
#50	op = 1;
#50	op = 2;
#50	op = 3;
#50	op = 4;
#50	op = 5;
#50	op =6;	
#50	$finish;
end
endmodule

 仿真波形
​​​​​​​

 

  • 4
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Yvonnae

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值